激光与光电子学进展, 2020, 57 (13): 131203, 网络出版: 2020-07-30   

基于FPGA的8通道高精度TDC技术 下载: 1349次

8-Channel High Precision TDC Technology Based on FPGA
张孟翟 1,2,*王华闯 1,**
作者单位
1 中国科学院光电技术研究所空天光电技术事业部, 四川 成都 610209
2 中国科学院大学, 北京 100049
摘要
高精度脉冲式激光测距的精度与时间数字转换器(TDC)的精度密切相关,基于现场可编程门阵列(FPGA)的多通道TDC可有效降低系统的复杂度、提高测量效率。利用Xilinx Kintex-7系列内的CARRY4模块构造延迟链作为细计数,用25位200 M的系统时钟进行粗计数,采用粗细结合的方式,在FPGA芯片内设计并验证了8通道高精度TDC。针对延迟单元的超前进位特性及其受温度电压影响的非线性时延,利用码密度测试法和在线校准法进行校准。实验结果表明,设计的8通道TDC分辨率小于35 ps,精度为36.8 ps,误差峰峰值为157.2 ps,量程为167.77 ms。
Abstract
The accuracy of high-precision pulse laser ranging is closely related to the accuracy of time-to-digital converter (TDC). The multi-channel TDC based on field programmable gate array (FPGA) can effectively reduce the complexity of the system and improve the measurement efficiency. A CARRY4 module in the Xilinx Kintex-7 series is used to construct delay chain as fine counter, and a 25 bit 200 M system clock is for coarse counting. The 8-channel high-precision TDC is designed and verified in the FPGA chip by a combination of coarse and fine counting. Aiming at the advancement characteristics of the delay cell and its nonlinearity time delay caused by temperature and voltage changes, this paper uses code density test and online calibration methods for calibration. Experimental results show that the 8-channel TDC has a resolution below 35 ps, a precision of 36.8 ps, an error peak-to-peak value of 157.2 ps, and a time range of 167.77 ms.

张孟翟, 王华闯. 基于FPGA的8通道高精度TDC技术[J]. 激光与光电子学进展, 2020, 57(13): 131203. Mengdi Zhang, Huachuang Wang. 8-Channel High Precision TDC Technology Based on FPGA[J]. Laser & Optoelectronics Progress, 2020, 57(13): 131203.

本文已被 1 篇论文引用
被引统计数据来源于中国光学期刊网
引用该论文: TXT   |   EndNote

相关论文

加载中...

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!