激光与光电子学进展, 2022, 59 (9): 0922007, 网络出版: 2022-05-10   

深紫外计算光刻技术研究 下载: 2316次特邀综述

Study on Deep Ultraviolet Computational Lithography Techniques
作者单位
1 中国科学院上海光学精密机械研究所信息光学与光电技术实验室,上海 201800
2 中国科学院大学材料与光电研究中心,北京 100049
摘要

光刻机是极大规模集成电路制造的核心装备,深紫外光刻机是用于先进技术节点芯片制造的主流光刻设备。光刻机的成像质量直接影响光刻机性能指标,是光刻机正常工作的前提。作为提高光刻成像质量的重要手段,计算光刻技术在光刻机软硬件不变的条件下,采用数学模型和软件算法对照明光源、掩模图形和工艺参数等进行优化,使目标图形高保真度地成像到硅片上。光刻成像模型是计算光刻技术的基础,成像模型仿真精度和速度的不断提高支撑了计算光刻技术的发展。结合本团队的研究工作,介绍了光刻成像模型的发展,总结了光学邻近效应修正技术、光源掩模优化技术和逆向光刻技术这三种主要计算光刻技术的研究进展。

Abstract

Lithography tool is the core equipment for the ultra-large-scale integrated circuit (ULSI) manufacturing. Deep ultraviolet (DUV) lithography tool is the mainstream lithographic equipment in the advanced technology node of chip manufacturing. The imaging quality of lithography tool, which has a direct impact on the performance metrics, is the premise that the lithography tool can work properly. Computational lithography technique is a vital way to improve the lithographic imaging quality when the software and hardware of lithography tool remain unchanged. It optimizes the illumination source, the mask pattern, and the process parameters using mathematical models and algorithms. With the help of computational lithography, the target pattern can be transferred onto the wafer with high imaging fidelity. Lithographic imaging model is the basis of computational lithography technique. The continuous increase of imaging model’s simulation accuracy and speed supports the development of computational lithography technique. Combining the research work of our group, the development of lithographic imaging model is reviewed. Then the research progresses of three main computational lithography techniques, including optical proximity effect correction (OPC), source mask optimization (SMO), and inverse lithography technology (ILT), are summarized in this paper.

1 引言

集成电路产业是信息技术产业的核心,促进了经济社会的发展1。光刻机是极大规模集成电路制造的核心装备,光刻分辨率决定了集成电路图形的特征尺寸2。根据分辨率公式,通过缩短曝光波长、增大投影物镜数值孔径和减小工艺因子,可以提高光刻机分辨率3

深紫外光刻机是用于先进技术节点芯片制造的主流光刻设备。为了提高光刻分辨率,深紫外光刻机的照明光源从KrF准分子激光发展到ArF准分子激光4,相应的曝光波长从248 nm缩短到193 nm。深紫外光刻机投影物镜系统的数值孔径逐渐增大到0.935,通过采用浸液式曝光技术,数值孔径进一步增大到1.35。此外,多种分辨率增强技术的应用使工艺因子不断减小。最先进的深紫外光刻机单次曝光分辨率达到了38 nm6,结合多重图形等技术,支撑了32 nm到7 nm技术节点的芯片量产。深紫外光刻机推动了芯片向更高集成度方向发展,在先进技术节点的芯片制造中发挥着重要作用7

深紫外光刻机以成像的方式将目标图形转移到硅片上,成像质量是影响光刻机分辨率及其他性能指标的重要因素8。光刻机的更新换代是阶段性的,在光刻机软硬件不变的条件下,需要采用分辨率增强技术来提高光刻成像质量。随着集成电路制造技术节点的不断发展,光学邻近效应和工艺偏差对光刻成像质量的影响越来越大,分辨率增强技术中的计算光刻技术成为提高光刻成像质量最重要的手段之一9。计算光刻技术采用数学模型准确描述从掩模到硅片的图形转移过程,并借助数值算法对照明光源、掩模图形和工艺参数等进行优化,实现高保真的图形转移10-12。自由照明、调焦调平等光刻机软硬件技术和掩模制造技术的发展为计算光刻技术的实际应用提供了保障。

光刻成像模型是计算光刻技术的基础13-16。基于成像模型进行计算光刻技术的研究可以降低实验成本并缩短研发周期。光刻成像模型精度的不断提高是计算光刻技术有效提升成像质量的重要保障。随着光刻技术的发展,光刻成像模型从非相干成像模型扩展到部分相干成像模型,从标量模型扩展到矢量模型。为了满足计算光刻技术的需求,光刻成像模型从集总模型逐渐发展为模块化的可分离模型,模型的精度和适用性不断提高。

针对芯片制造中的不同需求,人们提出了多种计算光刻技术。主要的计算光刻技术包括光学邻近效应修正(OPC)技术、光源掩模优化(SMO)技术与逆向光刻技术(ILT)等9。OPC技术通过改变掩模图形的尺寸和形状修正光学邻近效应来提高光刻成像质量,在对主图形修正后,通常需要在掩模图形的稀疏区域插入亚分辨率辅助图形(SRAF),提高稀疏图形与密集图形工艺窗口的一致性。SMO技术通过联合优化照明光源和掩模图形来提高光刻成像质量以及增大工艺窗口,通常用来得到适用于全芯片的照明光源。ILT利用光刻成像模型反向计算出在一定光刻工艺条件下具有最佳成像质量的掩模图形,能够在产生辅助图形的同时,实现辅助图形和主图形的联合优化。相比于OPC技术,ILT可以实现更高保真度的图形转移并获得更大的工艺窗口。

随着计算光刻技术的发展,光刻成像模型的仿真精度和速度不断提高,并行计算、机器学习等新技术逐渐得到应用,使计算光刻可以更高效地提高光刻成像质量。本文结合本团队在深紫外计算光刻技术领域的研究工作17-26,介绍光刻成像模型与OPC技术、SMO技术、ILT的研究进展。

2 光刻成像模型

计算光刻技术的基础是光刻成像模型。经过长期的发展,光刻成像模型的精度、计算效率、适用性不断提高,而光刻成像模型的进步离不开光学理论的持续发展。1873年,Abbe27奠定了傅里叶光学的基础。1880年前后,Rayleigh28基于对分辨率的早期探索,提出了瑞利公式,为提高光刻分辨率提供了理论依据。1883年,Kirchhoff29对惠更斯-菲涅耳原理进行分析推导,得到了最初的标量衍射公式。1953年,Hopkins30提出了部分相干照明下的成像公式,Hopkins公式后来广泛用于光刻成像建模。1959年,Richards等31对德拜衍射积分公式进行修正,引入了光的矢量特性,将标量成像模型扩展为矢量成像模型,奠定了矢量光刻成像模型的基础。1996年,Flagello等32引入角谱理论,取代传统的菲涅耳-基尔霍夫球面波叠加方式,解决了偏振光从厚掩模近场传输到物镜入瞳以及从物镜出瞳传输到像空间的矢量衍射问题,并且研究了偏振光在均匀薄膜中的成像问题。

基于光学理论可以计算空间像以及光刻胶内部的光强分布。1975年,Dill等33使用非相干成像模型、Dill模型、提出的边界传播元胞算法,首次通过光刻仿真得到了光刻胶显影轮廓。1979年,加州大学伯克利分校的Oldham等34开发出了成像仿真软件包SAMPLE,这个改进仿真框架能够用于仿真一维图形在部分相干照明、多波长与离焦条件下的曝光结果。1985年,Mack35开发出了光刻仿真软件PROLITH,该软件可以用于非相干照明条件下的光刻成像仿真。1987年,加州大学伯克利分校的研究团队36推出了SPLAT,基于Hopkins部分相干成像理论进行成像计算,同时引入泽尼克多项式表征投影物镜的波像差。1991年,加州大学伯克利分校的团队37开发了电磁场仿真软件TEMPEST,研究掩模形貌导致的衍射效应对成像结果的影响。1994年,Stirniman等38在快速邻近效应修正技术的成像计算中引入了区域采样的方法,实现了对大面积掩模图形的快速光刻成像仿真。1996年,Cobb等39提出了一个用于全芯片光学邻近效应修正的光刻成像仿真框架,用相干系统求和(SOCS)近似Hopkins模型,并引入了一个基于物理的、带有经验参数的变阈值光刻胶模型。利用SOCS方法产生的传递交叉系数(TCC)核函数提高了成像模型的计算效率,通过有限次数的傅里叶变换减少了成像计算量,而且可以利用先进的算法和硬件进行加速。

在引入折反式投影物镜设计和浸液式曝光方式后,投影物镜的数值孔径(NA)可达1.35。为了提升高NA系统的成像计算精度,需要在光刻成像模型中考虑光的矢量特性、偏振效应、偏振像差、掩模/光刻胶的三维形貌等因素。需要采用严格电磁场仿真方法研究掩模形貌对成像质量的影响。常见的严格电磁场仿真方法包括有限时域差分(FDTD)法、严格耦合波分析(RCWA)法、波导(WG)法与有限元法(FEM)等7。相比于薄掩模近似方法,严格电磁场仿真方法的衍射谱计算精度更高,但是计算速度较低。因此,人们提出了多种三维掩模近似模型,提高了掩模衍射谱的仿真速度,适用于全芯片的快速成像计算7

本团队的邱自成12围绕光刻成像模型对相关的衍射理论及成像理论进行了研究。针对普通数值孔径的光刻成像系统,利用标量场衍射理论描述物空间和像空间的衍射过程,基于Hopkins部分相干成像理论对光刻成像过程进行建模。该方法综合了SOCS成像模型和快速傅里叶变换FFT算法求解TCC矩阵的优点,通过FFT算法求解部分相干成像系统的TCC矩阵,采用光束干涉法计算一维光栅图形和一维孤立线条的空间像。通过修正光瞳函数,标量场成像模型能够继续应用于0.60<NA<0.70的数值孔径较大的成像条件。邱自成提出的空间像计算模型针对性强,消耗内存较小。

针对大数值孔径的光刻成像建模,邱自成利用矢量场衍射理论描述物方和像方的远场衍射,采用FDTD算法求解厚掩模的近场电磁场分布,并采用Debye-Wolf矢量场成像理论对成像系统建模;从Franz衍射理论出发,找到了惠更斯原理中预言的二次惠更斯点源的具体形式;将矢量惠更斯原理应用于矢量场衍射积分的研究,详细分析矢量平面波谱理论和Franz衍射公式之间的区别和联系,将原有的矢量平面波谱公式扩展为电场矢量平面波谱公式(E-VPWS)和磁场矢量平面波谱公式(H-VPWS);最后在矢量场成像模型中分别使用标量场衍射近似、E-VPWS远场衍射和Franz远场衍射三种衍射理论分析物方的厚掩模近场的远场衍射结果,并对成像结果进行对比。分析表明,矢量平面波谱理论所认为的偏振点源其实是一个磁偶极子。通常情况下,矢量平面波谱理论只能处理磁偶极子的成像,而不能处理电偶极子的空间像,Franz公式则能处理各种偏振点源的情况。只有在初始面上的电磁场分布满足麦克斯韦方程组时,Franz公式、E-VPWS和H-VPWS才互相等价。当作为初始条件的边界面上的电磁场分布为厚掩模近场分布时,分别基于E-VPWS和Franz计算得到的空间像强度之间存在偏差,该偏差的相对均方根值随数值孔径的增大而减小。在大数值孔径条件下,基于物方标量场衍射的空间像计算模型存在较大误差,需要采用矢量场衍射公式E-VPWS或Franz公式描述物方的远场衍射。

为了进一步提高光刻模型的预测精度和适用性,最初的集总模型逐渐发展成模块化的可分离模型,包括光学模型、掩模模型与光刻胶模型9。通过准确标定每个独立的模型,可以提高模型的预测精度,以及独立考察成像系统中单个元素或者工艺条件对光刻成像质量的影响。当某个元素发生变化,只需对与其相关的模块进行重新计算或者标定,其他模块保持不变,适用于照明模式、掩模图形与工艺参数等的联合优化。目前先进的全芯片仿真技术均采用同时满足计算效率、精度和适用性要求的可分离模型。

随着光刻技术的发展,光刻成像模型的仿真精度、计算速度和适用性不断提高。针对不同的工艺条件和应用场景,需要在光刻成像模型中考虑相应的因素。光刻成像模型为光学邻近效应修正技术、光源掩模优化技术和逆向光刻技术等提供了重要的仿真工具,成像模型的演进推动了计算光刻技术的发展。

3 光学邻近效应修正技术

光刻机投影物镜的数值孔径决定了投影物镜系统的衍射受限属性,即投影物镜难以捕获用于高保真图形转移的高频信息,用于重建目标图形的稀疏结构与密集结构的信息之间存在差异。随着集成电路图形的特征尺寸不断减小,入射光照射到掩模时发生的衍射效应越来越显著。高频衍射级次光难以被衍射受限系统收集并参与后续成像,导致转移到硅片上的图形与目标图形之间存在差异,即降低了图形保真度。这种现象被称为光学邻近效应40图1展示了典型的光学邻近效应,包括稀疏-密集偏差、特征尺寸非线性、线端缩短与拐角圆化。

图 1. 典型的光学邻近效应9

Fig. 1. Typical optical proximity effects[9]

下载图片 查看所有图片

这些光学邻近效应降低了光刻成像保真度,影响了集成电路的性能和功能。光学邻近效应修正(OPC)技术通过改变掩模图形的形状和尺寸来提高光刻成像保真度。OPC通常采用图形边缘偏移、拐角区域外扩或内缩等方式改变掩模形状和尺寸。

随着集成电路制造技术节点的不断发展,研究人员相继提出了基于规则的OPC技术(RBOPC)41和基于模型的OPC技术(MBOPC)39。前者主要用于250 nm和180 nm技术节点,后者从130 nm技术节点开始使用,对于90 nm及以下技术节点,使用的都是基于模型的OPC技术。

基于规则的OPC技术从早期掩模图形的手动调整方法和设计规则发展而来。建立包含多种简单和复合图形结构的修正规则表,是基于规则的OPC技术的核心。针对特定参数的图形结构,基于实验或者仿真结果建立相应的修正规则,即利用若干参数表示对图形结构进行修正量调整41图2展示了几种常见的修正规则,包括线条图形的修正规则、凸角和凹角的修正规则、T-End图形的修正规则、方孔图形的修正规则。大量图形结构的修正规则集合成了一个巨大的修正规则表。修正规则的形式、内容和数量对OPC修正掩模图形的效率和修正精度影响很大。修正规则越详细,能提供的掩模修正精度越高,但是也需要更多的修正时间。

图 2. 四种修正规则示意图9

Fig. 2. Schematic of four correction rules[9]

下载图片 查看所有图片

基于规则的OPC技术修正效率较高,全芯片修正耗时相对较短。利用修正规则修正之后的掩模具有比较规则的形状,降低了掩模制造难度和成本,在250 nm节点和180 nm节点得到广泛应用。OPC修正规则对分布相对稀疏的图形具有较强的适用性。随着集成电路图形的特征尺寸不断减小,图形复杂度越来越高,修正规则的数目呈指数增长,建立修正规则表、将设计图形与修正规则相匹配的难度越来越大。修正规则是在特定工艺条件下生成的,一旦工艺条件发生变化,重新制定修正规则的时间和资源成本将大幅增加。另外,修正规则很难完全覆盖所有的图形结构,尤其是二维图形结构。对于未包含在修正规则表中的图形结构,很难给出正确的修正量。因此,基于规则的OPC技术逐渐让位于基于模型的OPC技术。而基于规则的OPC技术可以用于初步的掩模修正方案,降低基于模型的OPC技术的计算成本,或者将一些简单的修正规则用于早期的图形设计,作为版图设计规则的补充。

基于模型的OPC技术通过建立准确的OPC模型来计算转移到硅片上的图形,利用优化算法优化掩模图形的边缘位置,从而实现高保真度的图形转移。根据修正方式,基于模型的OPC技术分为基于边修正的OPC技术(EBOPC)和基于像素修正的OPC技术(PBOPC)。基于像素修正的OPC技术后续发展成逆向光刻技术42图3为EBOPC技术和PBOPC技术的示意图。EBOPC技术对目标图形边缘进行分割,通过移动分割边修正掩模图形,从而提高硅片图形的保真度。PBOPC技术将掩模图形像素化,通过优化掩模像素的透过率修正掩模图形,从而提高硅片图形的保真度。EBOPC技术和PBOPC技术都可应用于二元掩模和相移掩模的优化。

图 3. EBOPC技术和PBOPC技术原理示意图42

Fig. 3. Schematic of the principles of EBOPC and PBOPC[42]

下载图片 查看所有图片

国内外研究团队从优化理论、优化算法和空间像计算方法等多个方面对MBOPC技术进行了广泛研究。1992年,Liu等43提出了一种基于分枝定界和模拟退火算法的PBOPC方法,用于二元掩模和相移掩模的设计。之后他们研究了考虑离焦条件的相移掩模设计44,但是上述方法优化后的图形复杂度较高,优化效率较低。尽管他们通过多种方法降低了优化后相移掩模的复杂度,但是仍然没有解决优化效率的问题45。1994年到1995年之间,Cobb和Zakhor先后提出了用于OPC的快速稀疏空间像计算方法46、低复杂度的掩模设计方法47、大面积相移掩模设计方法48,成功解决了Liu等方法存在的掩模可制造性和优化效率等问题。1994年,Pati等49-50利用相干系统求和SOCS来近似Hopkins成像模型,提出一种快速计算空间像的方法以及用于相移掩模设计的PBOPC方法。根据近似模型,将掩模设计问题转换为光学中经典的相位恢复问题,通过引入掩模的制造性约束条件,可以实现任意二维相移掩模的设计。

MBOPC于2001年用于第一代193 nm光刻机51。2007年,加州大学圣克鲁斯分校的Poonawala等52提出了基于最速下降法的PBOPC方法,用于相干成像系统。2008年,美国特拉华大学的Ma等53-54将这个方法扩展到部分相干成像系统,并提出了一种基于边界层厚掩模模型的三维OPC方法。2011年,北京理工大学的Ma等55提出了一种基于共轭梯度的PBOPC方法,相对于最速下降法,提高了OPC的优化效率。2012年到2013年,Ma等56分别通过评价函数引入小波惩罚项、基于图形块的掩模优化57,降低了掩模图形复杂度。2018年,Ma等58提出了基于非线性压缩感知的快速OPC方法,通过对掩模图形进行下采样,降低了OPC优化问题的变量维数,突破了基于梯度算法的OPC的计算效率。

OPC模型是所有MBOPC方法的基础。自从部分相干成像模型引入以来,OPC模型不断发展,以满足更高的精度和速度要求。ASML公司研究了掩模像素尺寸、TCC核的数目、光学直径等对PBOPC方法精度和效率的影响59。ASML、Mentor Graphics等公司都采用了可分离的OPC工艺模型,通过对光学像、掩模近场、光刻胶工艺等分别进行建模,利用扫描电子显微镜(SEM)测量的CD数据或者轮廓数据对模型进行准确标定,即可利用每个模型模块准确可靠地表征相应的物理和化学效应。

为了提高OPC技术的工艺鲁棒性,研究人员提出了多种基于工艺窗口的OPC方法(PWOPC)。2002年,Mentor Graphics公司的Cobb等60提出了基于掩模误差放大因子矩阵(MEEF matrix)的MBOPC方法,该方法借助MEEF矩阵来描述可移动图形边相互之间的影响,将基于MEEF矩阵的OPC问题转换为加权非线性最小二乘问题,通过迭代方法优化掩模图形边缘的位置。2007年,浙江大学的Chen等61提出了一种基于强度分布函数雅可比矩阵的MBOPC方法,与基于MEEF矩阵的OPC方法相比,在构造矩阵时计算量更小,同时采用了稀疏控制和区域模板复用,提高了OPC的修正效率。

图形边缘的分割策略影响了EBOPC方法的修正精度和修正效率。IBM、台积电和Synopsys等公司的研发人员针对图形边缘分割方法进行了研究。2006年,IBM提出了单一工艺条件下的自适应边缘分割方法62。2009年,台积电的Tang等63提出了一种同时考虑OPC精度、稳定性和运行效率的修正方法,该方法利用分段指数来确定合理的最小分段长度,利用稳定性指数来检验修正系统是否刚性收敛。2010年到2011年,为了抑制EBOPC方法中常见的振铃效应,Synopsys公司分别提出了基于模型的图形边缘分割与自适应的目标观测点放置方法64,并且采用多片段同时修正来满足修正精度和掩模制造规则65

近年来,机器学习在光刻建模和OPC方面得到了广泛应用。光刻建模长期依赖于经验模型,而采用机器学习可以提高光刻建模精度。线性回归66、主成分回归67、神经网络68等技术的应用可以提供良好的初始修正预测,提高MBOPC的运行效率。在日益更新的软硬件平台支撑下,机器学习方法在未来会更深入地融入到计算光刻技术中。OPC建模精度、OPC修正精度与修正效率、掩模可制造性的提升都是今后改进OPC技术的研究方向。

现有的PBOPC方法通过独立优化掩模像素来提高硅片图形的保真度。在优化过程中,评价函数值的小幅下降可能对应掩模图形的剧烈变化,导致硅片图形的局部成像质量处于反复的提升与退化状态。前期的局部优化效果难以在后续的修正过程中维持,从而增加了掩模修正的迭代次数和成像模型的调用次数,降低了OPC效率。事实上,硅片图形和目标图形之间的差异包含了所有的局部图形失真信息,而这些图形失真在修正过程中动态变化。为了捕捉这些动态变化的局部图形失真并进行自适应的修正,本团队的Chen等17提出了一种基于虚拟边的PBOPC方法,用于二元掩模的修正。将图形保真度等效为轮廓保真度。良好的图形保真度表现为硅片图形的轮廓(即光刻胶轮廓)尽可能接近目标轮廓。两个轮廓之间不匹配的部分看作是成像异常。在特定位置进行成像异常检测,对于每个检测到的局部成像异常,自适应地选择一组掩模像素构造虚拟边。通过移动虚拟边调整掩模透光区域的分布,直到完成该局部成像异常的修正。通过在修正过程中引入一些修正策略和约束条件,提高修正效率和轮廓保真度控制。当所有局部成像异常达到可接受范围或者修正的迭代次数达到上限时,OPC的修正流程终止。与EBOPC中的固定分割相比,自适应生成的虚拟边能够更加灵活地修正图形失真,减少了修正的迭代次数。以沿水平边缘分布的外扩异常和内缩异常为例,图4展示了基于虚拟边的成像异常修正原理。符号“X”标记的边界像素组合成虚拟边,通过虚拟边的刚性移动对局部成像异常进行修正。

图 4. 基于虚拟边的成像异常修正17。(a)水平方向外扩异常;(b)水平方向内缩异常

Fig. 4. Imaging anomaly correction based on virtual edge[17]. (a) Horizontal extension anomaly; (b) horizontal shrinkage anomaly

下载图片 查看所有图片

另外,在现有的PBOPC方法中,大多数方法都采用单一采样率得到密集像素化的掩模图形。在初始粗分网格空间优化,得到分布较散乱的掩模,导致最终在细分网格空间优化后的掩模可制造性较差。对于采用单一采样率的掩模像素化,当使用FFT计算掩模衍射谱时,衍射级次的数目与相应方向上掩模的采样点数相等。在衍射受限的光刻成像系统中,密集采样的像素化掩模的衍射谱包含大量不会参与成像的高频分量,只需衍射谱中的低频分量就可以准确地计算出成像结果,将衍射谱的所有频率分量代入到成像公式中反而降低了成像计算效率。针对这一问题,本团队的Chen等17提出了一种基于双相采样机制的掩模像素化策略,根据两种采样率分别得到不同网格尺寸的像素化掩模。该策略在粗采样网格上进行成像计算与异常检测,利用自适应生成的虚拟边在精采样网格上进行掩模修正,兼顾了成像计算效率与掩模修正分辨率。基于掩模双相采样机制的PBOPC原理如图5所示,在不同采样水平的网格执行像质评价、成像异常检测、成像异常修正,兼顾了修正效率与修正分辨率。图中符号“+”标记的边界像素组合成虚拟边。

图 5. 基于双相采样的成像异常修正17。(a)凸角点内缩异常;(b)水平边外扩异常

Fig. 5. Imaging anomaly correction based on biphasic sampling[17]. (a) Shrinkage anomaly around the convex corner; (b) horizontal extension anomaly

下载图片 查看所有图片

Chen等17提出的快速光学邻近效应修正方法通过修正局部成像异常提高了局部轮廓保真度,进而提高了全局图形保真度;采用成像异常检测模板检测出图形边缘和拐角处的成像异常,根据成像异常信息自适应地组合相关的掩模像素,生成虚拟边;基于掩模可制造性、可能出现的成像异常类型及修正过程,设定了多种修正策略与约束条件,指导和约束基于虚拟边的局部异常修正,提高了掩模修正效率和全局轮廓保真度。

OPC技术是应用最广泛的计算光刻技术,在芯片制造中发挥着重要作用。随着EUV光刻等新技术的应用,OPC技术将持续进步,保障芯片向更高集成度方向发展。

4 光源掩模优化技术

随着集成电路图形的特征尺寸进一步减小,仅靠优化光源或者优化掩模图形,难以为28 nm及以下技术节点的集成电路制造提供需要的工艺窗口和图形保真度。因此,需要通过联合优化光源和掩模图形来提高光刻成像质量。2001年,IBM公司的Rosenbluth等69首次提出光源掩模优化(SMO)技术,有效增大了光刻工艺窗口。SMO在技术思想上的创新为后续的多参数联合优化提供了指导方向。图6为SMO技术原理示意图,通过优化光源和掩模,将目标图形高保真度地转移到了硅片上的光刻胶中。

图 6. SMO技术原理示意图9

Fig. 6. Schematic of the principle of SMO techniques[9]

下载图片 查看所有图片

SMO过程中采用的光刻成像模型影响优化速度和优化结果,准确的光刻成像模型是SMO技术的基础。2011年,Cadance Design Systems公司的Coskun等70在先进节点的SMO中考虑了掩模形貌效应,结果表明,采用三维掩模模型的SMO能获得更好的光刻成像质量。2012年,Synopsys的Mülders等71将标定的光刻胶模型用于SMO,并且在掩模规则检查(MRC)约束空间中优化掩模,提高了光刻成像质量和掩模可制造性,增大了工艺窗口。Nikon公司的Flagello等72提出了一种应用于SMO的快速物理光刻胶模型,提高了SMO过程中仿真光刻胶图形的速度。北京理工大学的Ma等73提出了基于矢量成像模型的SMO技术,提高了SMO过程中成像仿真的精度。

优化算法是影响SMO效率的重要因素,国内外研究团队引入了一系列优化算法来提高SMO的优化效率。常见的优化算法包括梯度算法和智能优化算法。梯度算法利用目标函数的梯度信息对光源和掩模进行优化,优化速度快。2008年,Luminescent Technologies的Xiao等74提出了基于水平集方法的SMO,利用SMO减小了掩模误差放大因子(MEEF)。2011年,香港大学的Jia等75在像素化SMO中首次引入随机工艺偏差,采用非线性共轭梯度法优化光源和掩模,增大了光刻工艺窗口。清华大学的Peng等76提出了基于最速下降法的SMO技术,提高了图形保真度和焦深。2018年到2019年,广东工业大学的Shen等77对水平集方法在SMO中的应用进行了研究,推动了基于水平集的SMO技术的发展。智能优化算法不需要目标函数的梯度信息,适用于模型复杂的优化问题。2005年,Fraunhofer IISB的Fühner等78提出了基于遗传算法的SMO技术,提高了优化过程中寻找全局最优解的能力。2015年,台湾大学的Kuo等79提出了基于蚁群算法的像素化SMO技术。

除了成像模型和优化算法,包括优化顺序、光源与掩模表征方法等在内的优化策略也会影响SMO的优化效率和优化结果。2008年,ASML公司的Hsu等80提出了两种不同优化机制的SMO技术,即交替型SMO和同步型SMO。前者交替执行光源优化和掩模优化,后者同时执行光源和掩模优化。Hsu等证明相比交替型SMO,同步型SMO获得的工艺窗口更大。2013年,北京理工大学的Ma等81提出了一种结合独立光源优化、独立掩模优化与同步型SMO的混合型SMO技术,实现了更好的光刻性能。通过对光源和掩模进行降维和编码,可以提高SMO的优化效率。2005年,ASML公司的Socha等82通过优化像素化光源的强度分布和掩模的空间频域分布,实现了SMO。2010年,Synopsys公司的Mülders等83提出了基于数值组合方法的SMO技术,该技术预先计算大量的四分之一平面扩展函数并保存,每个四分之一平面扩展函数对应独立的光源像素点。在优化过程中,根据四分之一平面扩展函数的线性组合来计算成像结果,提高了SMO的优化效率。2014年,香港大学的Wu等84提出了基于泽尼克多项式光源表征方式的SMO技术,通过变量降维提高了SMO的优化效率和图形保真度。

此外,国内外研究团队对SMO技术在实际光刻工艺中的应用进行了广泛研究。2009年,IBM公司的Lai等85结合仿真和实验,研究了SMO在22 nm技术节点逻辑图形中的应用,以及光源模糊、光瞳填充比与光源变化对工艺窗口的影响。2010年,IMEC的Bekaert等86对自由照明光源在22 nm技术节点SRAM中的应用进行了实验研究。同年,推出了自由照明系统Intelligent Illuminator,照明光瞳包含128×128个像素,可以进行多灰度级的光强设置,实现了光源形状和强度的精细调整,为SMO自由照明光源的实现提供了保障87。Gauda公司的Torunoglu等88提出了基于GPU的全芯片SMO技术,通过采用GPU计算提高了SMO的优化速度。2012年,香港大学的Li等89提出了基于热点的快速SMO技术,提高了图形保真度和收敛效率,增大了工艺窗口。

随着EUV光刻技术的发展,研究人员对SMO技术在EUV光刻中的应用进行了研究。2008年,Fraunhofer IISB的Fühner等90以仿真的方式,首次将SMO应用于EUV光刻。2014年,ASML的Liu等91将SMO用于EUV光刻,实现了7 nm节点的逻辑图形的光刻。2019年,北京理工大学的Ma等92提出了基于梯度算法的EUV SMO技术。

本团队从优化算法、光源与掩模表征方法等方面对SMO技术进行了一系列研究。2013年,本团队的Li等18提出了基于像素表征的鲁棒性SMO,通过综合优化多个离焦面的成像质量增大了优化后的工艺窗口。本团队的Yang等19对基于遗传算法的SMO技术进行了研究,2013年,他们将实数编码的方法引入SMO技术,提高了SMO的优化速度,与二进制编码相比,该方法获得了更优的成像质量。2014年,Yang等20提出了多极光源表征方法,用于描述SMO过程中光源的形状。该方法减少了光源优化变量的维度,提高了优化速度。2016年,杨朝兴等21在像素化SMO技术中引入了多染色体遗传算法,与单染色体遗传算法相比,该SMO方法有效提高了优化速度和优化后的成像质量。2014年,本团队的李兆泽等22提出了基于随机并行梯度速降算法的SMO技术,该技术避免了目标函数梯度解析表达式的求解,降低了优化复杂度。2021年,本团队的Zhang等先后提出了基于厚掩模模型和社会学习粒子群优化算法的EUV SMO技术13、基于双边演化和部分采样的快速启发式EUV SMO技术14,提高了EUV SMO的成像仿真精度和优化效率。

为了进一步提高SMO的优化效率,本团队的Chen等23提出了一种基于协方差矩阵的自适应进化策略(CMA-ES)算法与照明方向筛选的SMO技术。利用CMA-ES算法的协方差矩阵和搜索步长自适应学习机制,在优化过程中自适应地调整解搜索范围,提高了SMO的优化性能和收敛效率。Chen等23对比了CMA-ES算法与差分进化策略(JADE)算法、粒子群(PSO)算法、遗传算法(GA)的优化效果,几种算法的SMO结果如图7所示。通过比较第三列可直观发现,基于CMA-ES算法的SMO获得了分布更加均匀的光刻胶像。通过比较第四列,基于CMA-ES算法的SMO输出了与目标图形边缘更一致的平滑轮廓。从第四列可以看出,基于JADE算法与基于CMA-ES算法的SMO输出的光刻胶轮廓非常接近,基于PSO算法和基于GA的SMO输出的光刻胶轮廓与目标轮廓相差甚远。这是因为对于像素化SMO这类高维优化问题,GA的优化性能有限。因此,即便多次调整GA的参数,基于GA的SMO仍然输出较差的优化结果,尤其是在变量维数更大的掩模优化阶段。值得注意的是,相比于其他几种SMO方法,基于CMA-ES算法的SMO得到了更紧凑的光源。比较第一列的四个优化后光源,可以发现强度较大的像素主要分布于竖直方向上分离的两极。即使是优化性能较差的基于GA的SMO,也表现出相似的行为。不同于其他SMO方法输出的分布较为分散的光源,基于CMA-ES算法的SMO输出的光源分布更加集中。可以合理地推论,基于CMA-ES算法的SMO能够捕获光源中最有利于提高成像质量的空间频率分量,即筛选出关键的照明方向。相比之下,其他三种SMO方法不能充分筛选出关键的空间频率分量。

图 7. 不同优化算法的SMO结果23

Fig. 7. SMO results of different optimization algorithms[23]

下载图片 查看所有图片

另外,Chen等23在SMO方法中引入了一种新型光源表征方式,即采用预设数量、位置可调的单位强度理想点光源合成像素化光源,有效筛选出了提高光刻成像质量的关键照明方向。仿真结果表明,相比于采用全像素化光源的SMO,采用合成光源的SMO的评价函数值更小,进一步减小了图形误差。合成光源包含较少数量的点光源时,光源变量的维数减小,促进相对较快的收敛,但同时由于优化自由度降低,优化能力有限。有限数量的点光源只能筛选出光源中关键的照明方向,难以优化光源的强度分布。不同照明方向的相对强度与在相应光源像素中收集的点光源数量有关。相比之下,适当增大点光源的预设数量可以兼顾关键照明方向筛选和相对强度分布优化。Chen等23提出的新型光源表征方式有助于找到光源中提高成像质量的空间频率分量,即具备筛选出关键照明方向的能力。

SMO技术在先进技术节点的芯片制造中发挥着重要作用,是OPC技术的重要补充。随着光刻技术的发展,SMO技术的成像模型精度和优化效率将不断提高。

5 逆向光刻技术

逆向光刻技术(ILT)利用光刻成像模型反向计算出在一定光刻工艺条件下具有最佳成像质量的掩模图形。ILT能够灵活产生亚分辨率辅助图形(SRAF),同时进行SRAF和主图形的协同优化,获得了比OPC和SRAF等技术更好的光刻成像性能。ILT自提出以来就受到了学术界和工业界的广泛关注42,其原理如图8所示。

图 8. ILT原理示意图42

Fig. 8. Schematic of the principle of ILT[42]

下载图片 查看所有图片

对ILT的研究最早可追溯到20世纪80年代,威斯康星大学麦迪逊分校的Saleh等93对基于像素表征的掩模优化技术展开了一系列研究。1985年,Nashold等94使用一系列投影算子来寻找一个带限函数,优化得到连续透过率掩模、灰度掩模、具有连续相位分布的掩模。1990年到1992年,加州大学伯克利分校的Liu等95采用分枝定界法和单纯形法实现了掩模优化,并且利用元胞算法使优化后的掩模满足掩模规则约束。

虽然这些早期的方法都提高了光刻成像质量,但较低的优化效率和掩模可制造性使这些方法离实际应用还有较大的差距。另外,浸液式光刻技术的应用导致ILT的研究紧迫性下降96,给研发人员提供了更多的时间来对ILT的细节展开深入研究。Intel公司在发现ILT的潜力之后,开始资助多所高校开展ILT的研究,尤其是基于像素化掩模表征方式的ILT,从而推动了ILT的第二轮研究热潮和最初的商业化。

2003年,Luminescent Technologies公司首次将ILT用到半导体制造中,基于已有的水平集方法实现了ILT。该公司与其合作公司在2005年的掩模技术会议上推出了自家研发的ILT产品,正是在那次会议期间,该公司的Pang等97将基于像素的掩模优化技术正式命名为“逆向光刻技术”,这个技术命名被业界一直沿用至今。2006年,该公司的首席技术官Abrams等98充分展示了ILT提高光刻成像质量的优势。同年,United Microelectronic公司的Lin等99描述了ILT与OPC的区别,通过实验证实了ILT掩模比OPC掩模的光刻质量更好。Luminescent Technologies公司的ILT研发引起了EDA公司、半导体公司与高校的关注。Mentor Graphics的Granik100提出了一种基于像素的ILT,该技术是该公司Calibre pxOPC软件的核心。Intel公司在2008年的SPIE先进光刻会议上发表了四篇论文,涉及建模与计算101、掩模制造与检测102-103以及芯片制造中的技术集成等方面104。初创公司Gauda发明了一种在空间频域进行优化的ILT方法105,推动了全芯片ILT的发展。

国内的研究团队对ILT进行了一系列研究。2009年,浙江大学的Yang等106提出了一种基于梯度的ILT,提高了优化效率。2013年到2014年,华中科技大学的Lü等107先后提出了基于掩模滤波的ILT、基于共轭梯度和最优时间步长的水平集ILT108以及基于级联多重网格的ILT109,从不同角度提高了ILT的优化效率和优化速度。2018年到2020年,北京理工大学的Ma等110对神经网络技术在ILT中的应用进行了研究,提出了基于模型驱动卷积神经网络的ILT。他还对神经网络结构进行改进,提出了基于双通道模型驱动深度学习的ILT111,提高了ILT的优化速度。2021年,广东工业大学的Shen等112提出了基于快速隐式主动轮廓模型的ILT,提高了基于水平集的ILT的优化效率。

本团队对ILT的优化算法和优化策略等进行了研究。2017年,本团队的王磊11将粒子群算法应用于ILT,在不需计算梯度信息的条件下实现了对像素化掩模的优化。ILT方法通常以掩模像素作为优化过程的最小单元,没有考虑掩模像素分布的几何拓扑性,独立地优化全部掩模像素,降低了优化效率。直接根据目标图形信息进行变量初始化,缺乏对初始解搜索空间的约束,降低了ILT的优化性能和收敛效率。另外,现有启发式算法的性能很大程度上受限于优化问题的变量维数,变量维数过大导致候选解生成速度较慢,限制了ILT的收敛效率。

针对这些问题,本团队的陈国栋等25提出了一种基于调制区块叠加与SRAF种子插入的ILT。基于调制区块叠加的掩模合成方法利用掩模像素间的相关性来加速收敛,利用一个控制局部透过率分布的高斯核和一个控制各高斯核相对强度分布的调制矩阵,实现全局掩模透过率分布的调整。ILT的优化变量从独立的掩模像素转变为调制矩阵,减少了优化变量的维数,提高了收敛效率。此外,陈国栋等26提出了一种与掩模图形和工艺条件无关的SRAF种子插入方法,在主图形附近等间隔插入SRAF种子,SRAF种子插入方式取决于目标图形的CD。根据主图形和SRAF种子初始化掩模变量,采用快速CMA-ES算法优化掩模变量24。快速CMA-ES算法是CMA-ES算法的一种变体,通过在自适应捕捉的搜索方向上高效产生新的候选解,提高了优化效率。解搜索空间的低秩近似、演化路径累积与搜索步长自适应是快速CMA-ES算法在高维优化问题中具有卓越优化性能的基础。该算法保证了高维优化问题中候选解的生成效率以及解搜索空间和搜索步长的自适应更新,进一步提高了ILT的优化性能和收敛效率。陈国栋等提出的ILT方法的优化流程如图9所示。

图 9. 基于调制区块叠加和SRAF种子插入的ILT优化流程25

Fig. 9. Optimization flow of ILT based on block modulation overlapping and SRAF seed insertion[25]

下载图片 查看所有图片

随着ILT的发展,多家公司都开发了商用ILT软件。Luminescent Technologies公司、Intel公司和Gauda公司分别基于水平集方法113、像素掩模优化方法103和GPU加速的空间频域优化方法实现了对掩模图形的优化105。Synopsys公司的Proteus ILT114、Mentor Graphics的Calibre pxOPC主要用于局部热点修复115,ASML公司的Tachyon iOPC主要用于SRAF插入116。2017年,Synposys公司的Hooker等117将ILT应用于EUV光刻。2019年,D2S公司采用GPU加速的方式实现了无缝的全芯片ILT,该方法可以快速生成全芯片ILT掩模图形,成功解决了ILT运行效率不足的问题118。另外,D2S公司的ILT实现了掩模修正结果的连续性和对称性、网格偏移不变性以及旋转不变性。

学术界和工业界的研究成果展示了ILT在全芯片修正方面的潜力,但ILT由于运行效率过低,目前仍主要用于局部热点修正,而不是全芯片的掩模优化。此外,通过ILT得到曲线型掩模图形,制造难度非常高。为了减少ILT掩模制造所需的时间和成本,需要在掩模数据准备阶段采用图形简化策略。图10展示了掩模数据准备阶段图形简化策略的发展过程,主要经历了传统分割(曼哈顿处理)、矩形重叠分割、优化分割与圆形重叠分割等发展阶段119。2020年,D2S公司提出了掩模硅片协同优化技术120,通过在OPC模型中引入准确的掩模工艺模型,结合硅片仿真结果来指导图形修正。利用该技术得到的ILT掩模可以在合理的时间内完成掩模直写。

图 10. 掩模数据准备阶段的图形简化策略119

Fig. 10. Strategies for pattern simplification during mask data preparation[119]

下载图片 查看所有图片

近几年业界开始将深度学习应用于ILT。2017年,ASML公司的Wang等116使用该公司的ILT引擎与深度卷积神经网络来训练ILT的深度学习模型。2020年,Synopsys公司的Liu121利用增强学习和GPU加速,在引入基于神经网络的M3D模型、光学模型与光刻胶模型后,完全依靠TensorFlow机器学习平台实现掩模合成。随着计算机算力的不断增强,深度学习在计算光刻技术中将得到更广泛的应用。

与OPC技术相比,ILT可以获得更高的成像质量和更大的工艺窗口。优化效率和掩模制造技术是限制ILT应用于全芯片优化的主要因素。随着GPU、深度学习和多电子束掩模直写技术的应用,ILT的应用范围将不断拓展。

6 结论

深紫外光刻机在极大规模集成电路制造中发挥着重要作用,它通过成像的方式实现目标图形从掩模到硅片的转移。光刻成像质量是影响光刻机性能指标的重要因素。当光刻机软硬件不变时,计算光刻技术是提高成像质量的重要手段。准确地对光刻成像过程进行建模是计算光刻技术的基础。结合本团队的工作,介绍了光刻成像模型和三种主要计算光刻技术的研究进展。

光刻成像模型是所有计算光刻技术的基础,成像模型精度的不断提高支撑了计算光刻技术的发展。随着光学理论的发展,人们对光刻成像模型进行了持续研究,不断提高光刻成像仿真的速度和精度。本团队围绕光刻成像模型对相关的衍射及成像理论进行了研究。针对普通数值孔径和大数值孔径的光刻成像系统,分别采用标量场和矢量场衍射理论描述物方和像方的衍射过程,提高了光刻成像仿真的计算精度。

OPC技术是应用最广泛的计算光刻技术。OPC技术通过对掩模图形的形状进行修正来弥补光学邻近效应,从而提高光刻成像质量。根据图形修正的方式,OPC技术主要分为基于规则的OPC和基于模型的OPC技术。基于模型的OPC技术是先进技术节点芯片制造中必不可少的计算光刻技术,在国内外得到了广泛研究。本团队对基于模型的像素化OPC技术进行了研究,提出了一种基于虚拟边与双相采样的快速OPC技术,采用多种修正策略改善虚拟边的成像异常,提高了掩模修正效率。该技术还通过双相采样的机制兼顾了修正效率与修正分辨率。

SMO技术通过同时优化光源和掩模图形提高光刻成像质量。与OPC技术相比,SMO技术既可以通过修正掩模图形来弥补光学邻近效应,还能通过优化照明方式来增大工艺窗口。国内外研究团队从成像模型、光源与掩模的表征方法、优化算法和鲁棒性等方面对SMO进行了广泛研究。本团队对像素化SMO进行了研究,将遗传算法(GA)、协方差矩阵自适应进化策略(CMA-ES)、社会学习粒子群算法(SL-PSO)等多种优化算法应用于SMO,结合多种优化策略,实现了光源和掩模的快速高效优化。此外本团队还对应用于EUV光刻的SMO技术进行了研究,采用三维掩模模型和双边演化等机制提高了成像仿真精度和优化效率。

ILT利用光刻成像模型反向计算出一定工艺条件下具有最佳成像质量的掩模图形。与OPC技术相比,ILT可以灵活产生亚分辨率辅助图形(SRAF),获得更高的光刻成像质量。为了推动ILT的实际应用,人们对ILT的优化效率和掩模可制造性进行了广泛研究。本团队从优化算法、优化策略和鲁棒性等角度出发,分别基于粒子群算法和快速CMA-ES算法提出了多种ILT,并提出了调制区块叠加和SRAF种子插入等优化策略,有效提高了优化效率。

参考文献

[1] 王向朝, 戴凤钊, 李思坤, 等. 集成电路与光刻机[M]. 北京: 科学出版社, 2020.

    WangX Z, DaiF Z, LiS K, et al. Integrated circuit and lithographic tool[M]. Beijing: Science Press, 2020.

[2] 王向朝, 戴凤钊. 光刻机像质检测技术-上册[M]. 北京: 科学出版社, 2021.

    WangX Z, DaiF Z. Image quality measurement techniques for lithographic tool (volume Ⅰ)[M]. Beijing: Science Press, 2021.

[3] 王向朝, 戴凤钊. 光刻机像质检测技术-下册[M]. 北京: 科学出版社, 2021.

    WangX Z, DaiF Z. Image quality measurement techniques for lithographic tool (volume Ⅱ)[M]. Beijing: Science Press, 2021.

[4] 茅言杰. 投影光刻机匹配关键技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2019.

    MaoY J. Study on key techniques of scanner matching[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Science, 2019.

[5] 诸波尔. 浸没式光刻机投影物镜波像差检测技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2018.

    ZhuB E. Study on wavefront aberration measurement for immersion lithographic projection lens[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2018.

[6] 孟泽江. 浸没式光刻机投影物镜偏振像差检测技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2019.

    MengZ J. Study on polarization aberration measurement for immersion lithographic projection lens[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2019.

[7] 张恒. 三维极紫外光刻掩模建模及缺陷补偿技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2019. 10.1016/j.optcom.2019.07.029

    ZhangH. Study on three-dimensional mask modeling and defect compensation method in extreme ultraviolet lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2019.

[8] 杨朝兴. 基于遗传算法的光刻机光源掩模优化技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2016.

    YangC X. Study on source mask optimization based on genetic algorithm for lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2016.

[9] 陈国栋. 深紫外计算光刻技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2021.

    ChenG D. Study on computational lithography techniques for deep ultraviolet lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2021.

[10] 李兆泽. 基于随机并行梯度速降算法的光刻机光源掩模优化技术[D]. 上海: 中国科学院上海光学精密机械研究所, 2014. 10.3788/aos201434.0911002

    LiZ Z. Source and mask optimization using stochastic parallel gradient descent algorithm in optical lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2014.

[11] 王磊. 基于粒子群算法的光刻机光源掩模投影物镜优化技术[D]. 上海: 中国科学院上海光学精密机械研究所, 2017. 10.3788/AOS201737.1022001

    WangL. Source mask projector optimization using particle swarm optimization algorithm in optical lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2017.

[12] 邱自成. 基于标量与矢量场衍射理论的光刻成像模型及其应用[D]. 上海: 中国科学院上海光学精密机械研究所, 2010.

    QiuZ C. The lithographic imaging models based on the scalar and vector diffraction theories and their application[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2010.

[13] Zhang Z N, Li S K, Wang X Z, et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm[J]. Optics Express, 2021, 29(4): 5448-5465.

[14] Zhang Z N, Li S K, Wang X Z, et al. Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling[J]. Optics Express, 2021, 29(14): 22778-22795.

[15] Liao L F, Li S K, Wang X Z, et al. Critical pattern selection method for full-chip source and mask optimization[J]. Optics Express, 2020, 28(14): 20748-20763.

[16] 张恒, 李思坤, 王向朝. 基于改进型结构分解的极紫外光刻掩模衍射谱快速仿真方法[J]. 光学学报, 2018, 38(1): 0105001.

    Zhang H, Li S K, Wang X Z. A rapid simulation method for diffraction spectra of EUV lithography mask based on improved structural decomposition[J]. Acta Optica Sinica, 2018, 38(1): 0105001.

[17] Chen G D, Li S K, Wang X Z. Efficient optical proximity correction based on virtual edge and mask pixelation with two-phase sampling[J]. Optics Express, 2021, 29(11): 17440-17463.

[18] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics & Laser Technology, 2013, 45: 285-293.

[19] Yang C X, Wang X Z, Li S K, et al. Source mask optimization using real-coded genetic algorithms[J]. Proceedings of SPIE, 2013, 8683: 86831T.

[20] Yang C X, Li S K, Wang X Z. Efficient source mask optimization using multipole source representation[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(4): 043001.

[21] 杨朝兴, 李思坤, 王向朝. 基于多染色体遗传算法的像素化光源掩模优化方法[J]. 光学学报, 2016, 36(8): 0811001.

    Yang C X, Li S K, Wang X Z. Pixelated source mask optimization based on multi chromosome genetic algorithm[J]. Acta Optica Sinica, 2016, 36(8): 0811001.

[22] 李兆泽, 李思坤, 王向朝. 基于随机并行梯度速降算法的光刻机光源与掩模联合优化方法[J]. 光学学报, 2014, 34(9): 0911002.

    Li Z Z, Li S K, Wang X Z. Source and mask optimization using stochastic parallel gradient descent algorithm in optical lithography[J]. Acta Optica Sinica, 2014, 34(9): 0911002.

[23] Chen G D, Li S K, Wang X Z. Source mask optimization using the covariance matrix adaptation evolution strategy[J]. Optics Express, 2020, 28(22): 33371-33389.

[24] 陈国栋, 李思坤, 王向朝. 基于快速协方差矩阵自适应进化策略的曲线型逆向光刻方法: CN113568278A[P]. 2021-10-29. 10.1117/12.2605879

    ChenG D, LiS K, WangX Z. Curve type reverse photoetching method based on fast covariance matrix adaptive evolutionary strategy: CN113568278A[P]. 2021-10-29.

[25] 陈国栋, 李思坤, 王向朝. 基于调制区块叠加合成掩模图形的曲线型逆向光刻方法: CN113589643A[P]. 2021-11-02.

    ChenG D, LiS K, WangX Z. Curved reverse lithography method based on mask pattern synthesized by superposition of modulation blocks: CN113589643A[P]. 2021-11-02.

[26] 陈国栋, 李思坤, 王向朝. 基于亚分辨率辅助图形种子插入的曲线型逆向光刻方法: CN113589644A[P]. 2021-11-02.

    ChenG D, LiS K, WangX Z. Curve reverse lithography method based on sub-resolution assisted graphic seed insertion: CN113589644A[P]. 2021-11-02.

[27] Abbe E K. Beiträge zur theorie des mikroskops und der mikroskopischen wahrnehmung[J]. Archiv Für Mikroskopische Anatomie, 1873, 9(1): 413-468.

[28] Rayleigh L. Investigations in optics, with special reference to the spectroscope[J]. The London, Edinburgh, and Dublin Philosophical Magazine and Journal of Science, 1879, 8(49): 261-274.

[29] Kirchhoff G. Zur theorie der lichtstrahlen[J]. Annalen Der Physik, 1883, 254(4): 663-695.

[30] Hopkins H H. On the diffraction theory of optical images[J]. Proceedings of the Royal Society of London Series A Mathematical and Physical Sciences, 1953, 217(1130): 408-432.

[31] Richards B, Wolf E. Electromagnetic diffraction in optical systems, II. structure of the image field in an aplanatic system[J]. Proceedings of the Royal Society of London Series A Mathematical and Physical Sciences, 1959, 253(1274): 358-379.

[32] Flagello D G, Milster T, Rosenbluth A E. Theory of high-NA imaging in homogeneous thin films[J]. Journal of the Optical Society of America A, 1996, 13(1): 53-64.

[33] Dill F H, Neureuther A R, Tuttle J A, et al. Modeling projection printing of positive photoresists[J]. IEEE Transactions on Electron Devices, 1975, 22(7): 456-464.

[34] Oldham W G, Nandgaonkar S N, Neureuther A R, et al. A general simulator for VLSI lithography and etching processes: part I: application to projection lithography[J]. IEEE Transactions on Electron Devices, 1979, 26(4): 717-722.

[35] Mack C A. PROLITH: a comprehensive optical lithography model[J]. Proceedings of SPIE, 1985, 0538: 207-220.

[36] Toh K K H, Neureuther A R. Identifying and monitoring effects of lens aberrations in projection printing[J]. Proceedings of SPIE, 1987, 0772: 202-209.

[37] Guerrieri R, Tadros K H, Gamelin J, et al. Massively parallel algorithms for scattering in optical lithography[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1991, 10(9): 1091-1100.

[38] Stirniman J P, Rieger M L. Fast proximity correction with zone sampling[J]. Proceedings of SPIE, 1994, 2197: 294-301.

[39] Cobb N B, Zakhor A, Miloslavsky E A. Mathematical and CAD framework for proximity correction[J]. Proceedings of SPIE, 1996, 2726: 208-222.

[40] Ronse K. Optical lithography-a historical perspective[J]. Comptes Rendus Physique, 2006, 7(8): 844-857.

[41] ShiR, CaiY C, HongX L, et al. The selection and creation of the rules in rules-based optical proximity correction[C]∥2001 4th International Conference on ASIC Proceedings, October 23-25, 2001, Shanghai, China. New York: IEEE Press, 2001: 50-53.

[42] Pang L Y. Inverse lithography technology: 30 years from concept to practical, full-chip reality[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 2021, 20(3): 030901.

[43] Liu Y, Zakhor A. Binary and phase-shifting mask design for optical lithography[J]. IEEE Transactions on Semiconductor Manufacturing, 1992, 5(2): 138-152.

[44] Liu Y, Pfau A K, Zakhor A. Systematic design of phase-shifting masks with extended depth of focus and/or shifted focus plane[J]. IEEE Transactions on Semiconductor Manufacturing, 1993, 6(1): 4393564.

[45] Liu Y, Zakhor A, Zuniga M A. Computer-aided phase shift mask design with reduced complexity[J]. IEEE Transactions on Semiconductor Manufacturing, 1996, 9(2): 170-181.

[46] Cobb N B, Zakhor A. Fast sparse aerial-image calculation for OPC[J]. Proceedings of SPIE, 1995, 2621: 534-545.

[47] Cobb N B, Zakhor A. Low-complexity mask design[J]. Proceedings of SPIE, 1995, 2440: 313-327.

[48] Cobb N B, Zakhor A. Large-area phase-shift mask design[J]. Proceedings of SPIE, 1994, 2197: 348-360.

[49] Pati Y C, Kailath T. Phase-shifting masks for microlithography: automated design and mask requirements[J]. Journal of the Optical Society of America A, 1994, 11(9): 2438-2452.

[50] Pati Y C, Ghazanfarian A A, Pease R F. Exploiting structure in fast aerial image computation for integrated circuit patterns[J]. IEEE Transactions on Semiconductor Manufacturing, 1997, 10(1): 62-74.

[51] Lucas K D, Word J C, Vandenberghe G, et al. Model-based OPC for first-generation 193-nm lithography[J]. Proceedings of SPIE, 2001, 4346: 119-130.

[52] Poonawala A, Milanfar P. Mask design for optical microlithography: an inverse imaging problem[J]. IEEE Transactions on Image Processing, 2007, 16(3): 774-788.

[53] Ma X, Arce G R. Binary mask optimization for inverse lithography with partially coherent illumination[J]. Proceedings of SPIE, 2008, 7140: 309-323.

[54] Ma X, Arce G R. Binary mask optimization for forward lithography based on the boundary layer model in coherent systems[J]. Journal of the Optical Society of America A, 2009, 26(7): 1687-1695.

[55] Ma X, Arce G R. Pixel-based OPC optimization based on conjugate gradients[J]. Optics Express, 2011, 19(3): 2165-2180.

[56] Ma X, Li Y Q, Dong L S. Mask optimization approaches in optical lithography based on a vector imaging model[J]. Journal of the Optical Society of America A, 2012, 29(7): 1300-1312.

[57] Ma X, Song Z Y, Li Y Q, et al. Block-based mask optimization for optical lithography[J]. Applied Optics, 2013, 52(14): 3351-3363.

[58] Ma X, Wang Z Q, Li Y Q, et al. Fast optical proximity correction method based on nonlinear compressive sensing[J]. Optics Express, 2018, 26(11): 14479-14498.

[59] Chen J F, Liu H Y, Laidig T, et al. Development of a computational lithography roadmap[J]. Proceedings of SPIE, 2008, 6924: 69241C.

[60] Cobb N B, Granik Y. Model-based OPC using the MEEF matrix[J]. Proceedings of SPIE, 2002, 4889: 1281-1292.

[61] Chen Y, Wu K, Shi Z, et al. A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functions[J]. Proceedings of SPIE, 2007, 6520: 65204C.

[62] MukherjeeM, BaumZ, LavinM A. Method for adaptive segment refinement in optical proximity correction: US7043712[P]. 2006-05-09.

[63] Tang Y P, Feng J H, Chih M H, et al. OPC segmentation: dilemma between degree-of-freedom and stability with some relieves[J]. Proceedings of SPIE, 2009, 7274: 72742G.

[64] Cork C, Amoroso F, Poonawala A, et al. Suppressing ringing effects from very strong off-axis illumination with novel OPC approaches for low k1 lithography[J]. Proceedings of SPIE, 2010, 7640: 76401C.

[65] Ping Y, Li X H, Jang S, et al. Tolerance-based OPC and solution to MRC-constrained OPC[J]. Proceedings of SPIE, 2011, 7973: 79732M.

[66] Gu A, Zakhor A. Optical proximity correction with linear regression[J]. IEEE Transactions on Semiconductor Manufacturing, 2008, 21(2): 263-271.

[67] Gao P R, Gu A, Zakhor A. Optical proximity correction with principal component regression[J]. Proceedings of SPIE, 2008, 6924: 69243N.

[68] Kwon Y, Shin Y. Optimization of accurate resist kernels through convolutional neural network[J]. Proceedings of SPIE, 2021, 11613: 116130I.

[69] Rosenbluth A E, Bukofsky S J, Hibbs M S, et al. Optimum mask and source patterns to print a given shape[J]. Proceedings of SPIE, 2001, 4346: 486-502.

[70] Coskun T H, Dai H X, Huang H T, et al. Accounting for mask topography effects in source-mask optimization for advanced nodes[J]. Proceedings of SPIE, 2011, 7973: 79730P.

[71] Mülders T, Domnenko V, Küchler B, et al. Source-mask optimization incorporating a physical resist model and manufacturability constraints[J]. Proceedings of SPIE, 2012, 8326: 83260G.

[72] Flagello D, Matsui R, Yano K, et al. The development of a fast physical photoresist model for OPE and SMO applications from an optical engineering perspective[J]. Proceedings of SPIE, 2012, 8326: 83260R.

[73] Ma X, Li Y Q, Guo X J, et al. Robust resolution enhancement optimization methods to process variations based on vector imaging model[J]. Proceedings of SPIE, 2012, 8326: 83262A.

[74] Xiao G M, Cecil T, Pang L Y, et al. Source optimization and mask design to minimize MEEF in low k1 lithography[J]. Proceedings of SPIE, 2008, 7028: 70280T.

[75] Jia N N, Lam E Y. Pixelated source mask optimization for process robustness in optical lithography[J]. Optics Express, 2011, 19(20): 19384-19398.

[76] Peng Y, Zhang J Y, Wang Y, et al. Gradient-based source and mask optimization in optical lithography[J]. IEEE Transactions on Image Processing, 2011, 20(10): 2856-2864.

[77] Shen Y J, Peng F, Huang X Y, et al. Adaptive gradient-based source and mask co-optimization with process awareness[J]. Chinese Optics Letters, 2019, 17(12): 121102.

[78] Fühner T, Erdmann A. Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm[J]. Proceedings of SPIE, 2005, 5754: 415-426.

[79] Kuo H F, Wu W C, Li F. Pixel-based ant colony algorithm for source mask optimization[J]. Proceedings of SPIE, 2015, 9426: 94260M.

[80] Hsu S, Chen L Q, Li Z P, et al. An innovative source-mask co-optimization (SMO) method for extending low k1 imaging[J]. Proceedings of SPIE, 2008, 8066: 806657.

[81] Ma X, Han C Y, Li Y Q, et al. Pixelated source and mask optimization for immersion lithography[J]. Journal of the Optical Society of America A, 2013, 30(1): 112-123.

[82] Socha R, Shi X L, LeHoty D. Simultaneous source mask optimization (SMO)[J]. Proceedings of SPIE, 2005, 5853: 180-193.

[83] Mülders T, Domnenko V, Küchler B, et al. Simultaneous source-mask optimization: a numerical combining method[J]. Proceedings of SPIE, 2010, 7823: 78233X.

[84] Wu X F, Liu S Y, Li J, et al. Efficient source mask optimization with Zernike polynomial functions for source representation[J]. Optics Express, 2014, 22(4): 3924-3937.

[85] Lai K, Rosenbluth A E, Bagheri S, et al. Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22 nm logic lithography process[J]. Proceedings of SPIE, 2009, 7274: 72740A.

[86] Bekaert J, Laenens B, Verhaegen S, et al. Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells[J]. Proceedings of SPIE, 2010, 7640: 764008.

[87] Mizuno Y, Matsuyama T, Owa S, et al. Illumination optics for source-mask optimization[J]. Proceedings of SPIE, 2010, 7640: 76401I.

[88] Torunoglu I, Elsen E, Karakas A. A GPU-based full-chip source-mask optimization solution[J]. Proceedings of SPIE, 2010, 7640: 76401L.

[89] Li J, Shen Y J, Lam E Y. Hotspot-aware fast source and mask optimization[J]. Optics Express, 2012, 20(19): 21792-21804.

[90] Fühner T, Erdmann A, Evanschitzky P. Simulation-based EUV source and mask optimization[J]. Proceedings of SPIE, 2008, 7122: 71221Y.

[91] Liu X F, Howell R, Hsu S, et al. EUV source-mask optimization for 7 nm node and beyond[J]. Proceedings of SPIE, 2014, 9048: 90480Q.

[92] Ma X, Wang Z Q, Chen X B, et al. Gradient-based source mask optimization for extreme ultraviolet lithography[J]. IEEE Transactions on Computational Imaging, 2019, 5(1): 120-135.

[93] Saleh B E A, Sayegh S I. Reduction of errors of microphotographic reproductions by optimal corrections of original masks[J]. Optical Engineering, 1981, 20(5): 205781.

[94] Nashold K M, Saleh B E A. Image construction through diffraction-limited high-contrast imaging systems: an iterative approach[J]. Journal of the Optical Society of America A, 1985, 2(5): 635-643.

[95] Liu Y, Zakhor A. Optimal binary image design for optical lithography[J]. Proceedings of SPIE, 1990, 1264: 401-412.

[96] Lin B J. Immersion lithography and its impact on semiconductor manufacturing[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2004, 3(3): 46-67.

[97] Pang L Y, Shamma N, Rissman P, et al. Laser and e-beam mask-to-silicon with inverse lithography technology[J]. Proceedings of SPIE, 2005, 5992: 599221.

[98] Abrams D S, Pang L Y. Fast inverse lithography technology[J]. Proceedings of SPIE, 2006, 6154: 61541J.

[99] Lin B, Shieh M F, Sun J W, et al. Inverse lithography technology at chip scale[J]. Proceedings of SPIE, 2006, 6154: 615414.

[100] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2006, 5(4): 043002.

[101] Borodovsky Y, Cheng W H, Schenker R, et al. Pixelated phase mask as novel lithography RET[J]. Proceedings of SPIE, 2008, 6924: 69240E.

[102] Singh V, Hu B, Toh K, et al. Making a trillion pixels dance[J]. Proceedings of SPIE, 2008, 6924: 69240S.

[103] Cheng W H, Farnsworth J, Kwok W, et al. Fabrication of defect-free full-field pixelated phase mask[J]. Proceedings of SPIE, 2008, 6924: 69241G.

[104] Schenker R, Bollepalli S, Hu B, et al. Integration of pixelated phase masks for full-chip random logic layers[J]. Proceedings of SPIE, 2008, 6924: 69240I.

[105] Torunoglu I, Karakas A, Elsen E, et al. A GPU-based full-chip inverse lithography solution for random patterns[J]. Proceedings of SPIE, 2010, 7641: 764115.

[106] Yang Y W, Shi Z, Shen S H, et al. Hot-spots aware inverse lithography technology[J]. ECS Transactions, 2009, 18(1): 367-372.

[107] Lü W, Xia Q, Liu S Y. Mask-filtering-based inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2013, 12(4): 043003.

[108] Lü W, Liu S Y, Xia Q, et al. Level-set-based inverse lithography for mask synthesis using the conjugate gradient and an optimal time step[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 2013, 31(4): 041605.

[109] Lü W, Lam E Y, Wei H Q, et al. Cascadic multigrid algorithm for robust inverse mask synthesis in optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(2): 023003.

[110] Ma X, Zhao Q L, Zhang H, et al. Model-driven convolution neural network for inverse lithography[J]. Optics Express, 2018, 26(25): 32565-32584.

[111] Ma X, Zheng X Q, Arce G R. Fast inverse lithography based on dual-channel model-driven deep learning[J]. Optics Express, 2020, 28(14): 20404-20421.

[112] Shen Y J, Zhou Y Z, Zhang Z R. Fast implicit active contour model for inverse lithography[J]. Optics Express, 2021, 29(7): 10036-10047.

[113] Pang L Y, Dai G, Cecil T, et al. Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodes[J]. Proceedings of SPIE, 2008, 6924: 69240T.

[114] Braam K, Selinidis K, Hoppe W, et al. EUV mask synthesis with rigorous ILT for process window improvement[J]. Proceedings of SPIE, 2019, 10962: 109620P.

[115] Tritchkov A, Kobelkov S, Rodin S, et al. Use of ILT-based mask optimization for local printability enhancement[J]. Proceedings of SPIE, 2014, 9256: 92560X.

[116] Wang S B, Su J, Zhang Q, et al. Machine learning assisted SRAF placement for full chip[J]. Proceedings of SPIE, 2017, 10451: 104510D.

[117] Hooker K, Kuechler B, Kazarian A, et al. ILT optimization of EUV masks for sub-7 nm lithography[J]. Proceedings of SPIE, 2017, 10446: 1044604.

[118] Pang L Y, Russell E V, Baggenstoss B, et al. Study of mask and wafer co-design that utilizes a new extreme SIMD approach to computing in memory manufacturing: full-chip curvilinear ILT in a day[J]. Proceedings of SPIE, 2019, 11148: 111480U.

[119] NaoyaH. Computational lithography requirements & challenges for mask making[EB/OL]. [2021-05-03]. https://www.ebeam.org/docs/lv12_hyashi_dnp_distribution.pdf.

[120] Pang L, Russell E V, Baggenstoss B, et al. Enabling faster VSB writing of 193i curvilinear ILT masks that improve wafer process windows for advanced memory applications[J]. Proceedings of SPIE, 2020, 11518: 115180W.

[121] Liu P. Mask synthesis using machine learning software and hardware platforms[J]. Proceedings of SPIE, 2020, 11327: 1132707.

陈国栋, 张子南, 李思坤, 王向朝. 深紫外计算光刻技术研究[J]. 激光与光电子学进展, 2022, 59(9): 0922007. Guodong Chen, Zinan Zhang, Sikun Li, Xiangzhao Wang. Study on Deep Ultraviolet Computational Lithography Techniques[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922007.

本文已被 3 篇论文引用
被引统计数据来源于中国光学期刊网
引用该论文: TXT   |   EndNote

相关论文

加载中...

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!