光学学报, 2017, 37 (10): 1022001, 网络出版: 2018-09-07   

基于粒子群优化算法的光刻机光源掩模投影物镜联合优化方法 下载: 1413次

Source Mask Projector Optimization Method of Lithography Tools Based on Particle Swarm Optimization Algorithm
作者单位
1 中国科学院上海光学精密机械研究所信息光学与光电技术实验室, 上海 201800
2 中国科学院大学, 北京 100049
引用该论文

王磊, 李思坤, 王向朝, 杨朝兴. 基于粒子群优化算法的光刻机光源掩模投影物镜联合优化方法[J]. 光学学报, 2017, 37(10): 1022001.

Lei Wang, Sikun Li, Xiangzhao Wang, Chaoxing Yang. Source Mask Projector Optimization Method of Lithography Tools Based on Particle Swarm Optimization Algorithm[J]. Acta Optica Sinica, 2017, 37(10): 1022001.

参考文献

[1] ErdmannA, FarkasR, FühnerT, et al. Mask and source optimization for lithographic imaging systems[C]. SPIE, 2003, 5182: 88- 102.

    ErdmannA, FarkasR, FühnerT, et al. Mask and source optimization for lithographic imaging systems[C]. SPIE, 2003, 5182: 88- 102.

[2] Wong A KK. Resolution enhancement techniques in optical lithography[M]. Bellingham: SPIE Press, 2001.

    Wong A KK. Resolution enhancement techniques in optical lithography[M]. Bellingham: SPIE Press, 2001.

[3] MackC. Fundamental principles of optical lithography: the science of microfabrication[M]. Chichester: John Wiley and Sons, 2007.

    MackC. Fundamental principles of optical lithography: the science of microfabrication[M]. Chichester: John Wiley and Sons, 2007.

[4] Sears MK, Smith BW. Pupil wavefront manipulation to compensate for mask topography effects in optical nanolithography[C]. SPIE, 2013, 8683: 86830G.

    Sears MK, Smith BW. Pupil wavefront manipulation to compensate for mask topography effects in optical nanolithography[C]. SPIE, 2013, 8683: 86830G.

[5] FühnerT. Artificial evolution for the optimization of lithographic process conditions[D]. Erlangen-Nürnberg:FAU, 2013.

    FühnerT. Artificial evolution for the optimization of lithographic process conditions[D]. Erlangen-Nürnberg:FAU, 2013.

[6] Lai K. Review of computational lithography modeling: focusing on extending optical lithography and design-technology co-optimization[J]. Advanced Optical Technologies, 2012, 1(4): 249-267.

    Lai K. Review of computational lithography modeling: focusing on extending optical lithography and design-technology co-optimization[J]. Advanced Optical Technologies, 2012, 1(4): 249-267.

[7] Deng YF, Coskun TH, KyeJ, et al. Lithography target optimization with source-mask optimization[C]. SPIE, 2012, 8326: 83262P.

    Deng YF, Coskun TH, KyeJ, et al. Lithography target optimization with source-mask optimization[C]. SPIE, 2012, 8326: 83262P.

[8] Rosenbluth AE, BukofskyS, HibbsM, et al. Optimum mask and source patterns to print a given shape[C]. SPIE, 2001, 4346: 486- 502.

    Rosenbluth AE, BukofskyS, HibbsM, et al. Optimum mask and source patterns to print a given shape[C]. SPIE, 2001, 4346: 486- 502.

[9] StaalsF, AndryzhyieuskayaA, BakkerH, et al. Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner[C]. SPIE, 2011, 7973: 79731G.

    StaalsF, AndryzhyieuskayaA, BakkerH, et al. Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner[C]. SPIE, 2011, 7973: 79731G.

[10] Sears MK, FengerG, MailfertJ, et al. Extending SMO into the lens pupil domain[C]. SPIE, 2011, 7973: 79731B.

    Sears MK, FengerG, MailfertJ, et al. Extending SMO into the lens pupil domain[C]. SPIE, 2011, 7973: 79731B.

[11] Sears M K, Bekaert J, Smith B W. Lens wavefront compensation for 3D photomask effects in subwavelength optical lithography[J]. Applied Optics, 2013, 52(3): 314-322.

    Sears M K, Bekaert J, Smith B W. Lens wavefront compensation for 3D photomask effects in subwavelength optical lithography[J]. Applied Optics, 2013, 52(3): 314-322.

[12] Han C Y, Li Y Q, Dong L S, et al. Inverse pupil wavefront optimization for immersion lithography[J]. Applied Optics, 2014, 53(29): 6861-6871.

    Han C Y, Li Y Q, Dong L S, et al. Inverse pupil wavefront optimization for immersion lithography[J]. Applied Optics, 2014, 53(29): 6861-6871.

[13] Li J, Lam E Y. Robust source and mask optimization compensating for mask topography effects in computational lithography[J]. Optics Express, 2014, 22(8): 9471-9485.

    Li J, Lam E Y. Robust source and mask optimization compensating for mask topography effects in computational lithography[J]. Optics Express, 2014, 22(8): 9471-9485.

[14] LiJ, Lam EY. Joint optimization of source, mask, and pupil in optical lithography[C]. SPIE, 2014, 9052: 90520S.

    LiJ, Lam EY. Joint optimization of source, mask, and pupil in optical lithography[C]. SPIE, 2014, 9052: 90520S.

[15] FühnerT, EvanschitzkyP, ErdmannA. Mutual source, mask and projector pupil optimization[C]. SPIE, 2012, 8326: 83260I.

    FühnerT, EvanschitzkyP, ErdmannA. Mutual source, mask and projector pupil optimization[C]. SPIE, 2012, 8326: 83260I.

[16] WongP, Bisschop PD, RobertsonS, et al. Litho1-litho2 proximity differences for LELE and LPLE double patterning processes[C]. SPIE, 2012, 8326: 83260E.

    WongP, Bisschop PD, RobertsonS, et al. Litho1-litho2 proximity differences for LELE and LPLE double patterning processes[C]. SPIE, 2012, 8326: 83260E.

[17] YaegashiH, OyamaK, HaraA, et al. Overview: continuous evolution on double-patterning process[C]. SPIE, 2012, 8325: 83250B.

    YaegashiH, OyamaK, HaraA, et al. Overview: continuous evolution on double-patterning process[C]. SPIE, 2012, 8325: 83250B.

[18] YaegashiH, OyamaK, HaraA, et al. Recent progress on multiple-patterning process[C]. SPIE, 2014, 9051: 90510X.

    YaegashiH, OyamaK, HaraA, et al. Recent progress on multiple-patterning process[C]. SPIE, 2014, 9051: 90510X.

[19] NakajimaF, KodamaC, NakayamaK, et al. Self-aligned quadruple patterning-compliant placement[C]. SPIE, 2015, 9427: 942708.

    NakajimaF, KodamaC, NakayamaK, et al. Self-aligned quadruple patterning-compliant placement[C]. SPIE, 2015, 9427: 942708.

[20] Tsai MC, HsuS, Chen LQ, et al. Full-chip source and mask optimization[C]. SPIE, 2011, 7973: 79730A.

    Tsai MC, HsuS, Chen LQ, et al. Full-chip source and mask optimization[C]. SPIE, 2011, 7973: 79730A.

[21] Adam K, Lam M C, Cobb N, et al. Application of the hybrid Hopkins-Abbe method in full-chip OPC[J]. Microelectronic Engineering, 2009, 86(4-6): 492-496.

    Adam K, Lam M C, Cobb N, et al. Application of the hybrid Hopkins-Abbe method in full-chip OPC[J]. Microelectronic Engineering, 2009, 86(4-6): 492-496.

[22] KennedyJ, EberhartR. Particle swarm optimization[C]. 1995 IEEE International Conference on Neural Networks Proceedings, 1995, 4: 1942- 1948.

    KennedyJ, EberhartR. Particle swarm optimization[C]. 1995 IEEE International Conference on Neural Networks Proceedings, 1995, 4: 1942- 1948.

[23] Jones KO. Comparison of genetic algorithm and particle swarm optimization[C]. International Conference on Computer Systems and Technologies, 2005: 1- 6.

    Jones KO. Comparison of genetic algorithm and particle swarm optimization[C]. International Conference on Computer Systems and Technologies, 2005: 1- 6.

[24] 王磊, 李思坤, 王向朝, 等. 基于粒子群优化算法的光刻机光源优化方法[J]. 光学学报, 2015, 35(4): 0422002.

    王磊, 李思坤, 王向朝, 等. 基于粒子群优化算法的光刻机光源优化方法[J]. 光学学报, 2015, 35(4): 0422002.

    Wang Lei, Li Sikun, Wang Xiangzhao, et al. Source optimization using particle swarm optimization algorithm in optical lithography[J]. Acta Optica Sinica, 2015, 35(4): 0422002.

    Wang Lei, Li Sikun, Wang Xiangzhao, et al. Source optimization using particle swarm optimization algorithm in optical lithography[J]. Acta Optica Sinica, 2015, 35(4): 0422002.

[25] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2006, 5(4): 043002.

    Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2006, 5(4): 043002.

[26] Ma X, Wu B L, Song Z Y, et al. Fast pixel-based optical proximity correction based on nonparametric kernel regression[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(4): 043007.

    Ma X, Wu B L, Song Z Y, et al. Fast pixel-based optical proximity correction based on nonparametric kernel regression[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(4): 043007.

[27] ZhangJ, XiongW, WangY, et al. A highly efficient optimization algorithm for pixel manipulation in inverse lithography technique[C]. International Conference on Computer Aided Design, 2008, 182: 480- 487.

    ZhangJ, XiongW, WangY, et al. A highly efficient optimization algorithm for pixel manipulation in inverse lithography technique[C]. International Conference on Computer Aided Design, 2008, 182: 480- 487.

[28] Wu X F, Liu S Y, Lü W, et al. Robust and efficient inverse mask synthesis with basis function representation[J]. Journal of the Optical Society of America A, 2014, 31(12): B1-B9.

    Wu X F, Liu S Y, Lü W, et al. Robust and efficient inverse mask synthesis with basis function representation[J]. Journal of the Optical Society of America A, 2014, 31(12): B1-B9.

[29] Zhang JY, XiongW, Tsai MC, et al. Efficient mask design for inverse lithography technology based on 2D discrete cosine transformation (DCT)[C]. Simulation of Semiconductor Processes and Devices, 2007, 12: 49- 52.

    Zhang JY, XiongW, Tsai MC, et al. Efficient mask design for inverse lithography technology based on 2D discrete cosine transformation (DCT)[C]. Simulation of Semiconductor Processes and Devices, 2007, 12: 49- 52.

[30] Shen SH, YuP, Pan DZ. Enhanced DCT2-based inverse mask synthesis with initial SRAF insertion[C]. SPIE, 2008, 7122: 712241.

    Shen SH, YuP, Pan DZ. Enhanced DCT2-based inverse mask synthesis with initial SRAF insertion[C]. SPIE, 2008, 7122: 712241.

[31] MaX, Arce GR. Computational lithography[M]. New York: John Wiley and Sons, 2010.

    MaX, Arce GR. Computational lithography[M]. New York: John Wiley and Sons, 2010.

[32] Wong A KK. Optical imaging in projection microlithography[M]. Bellingham: SPIE Press, 2005.

    Wong A KK. Optical imaging in projection microlithography[M]. Bellingham: SPIE Press, 2005.

[33] 闫观勇, 李思坤, 王向朝. 基于二次规划的光刻机光源优化方法[J]. 光学学报, 2014, 34(10): 1022004.

    闫观勇, 李思坤, 王向朝. 基于二次规划的光刻机光源优化方法[J]. 光学学报, 2014, 34(10): 1022004.

    Yan Guanyong, Li Sikun, Wang Xiangzhao. Source optimization method of lithography tools based on quadratic programming[J]. Acta Optica Sinica, 2014, 34(10): 1022004.

    Yan Guanyong, Li Sikun, Wang Xiangzhao. Source optimization method of lithography tools based on quadratic programming[J]. Acta Optica Sinica, 2014, 34(10): 1022004.

[34] Poonawala A, Milanfar P. Mask design for optical microlithography-an inverse imaging problem[J]. IEEE Transactions on Image Processing, 2007, 16(3): 774-788.

    Poonawala A, Milanfar P. Mask design for optical microlithography-an inverse imaging problem[J]. IEEE Transactions on Image Processing, 2007, 16(3): 774-788.

[35] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics and Laser Technology, 2013, 45(2): 285-293.

    Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics and Laser Technology, 2013, 45(2): 285-293.

[36] Kennedy JF, KennedyJ, Eberhart RC, et al. Swarm intelligence[M]. San Francisco: Morgan Kaufmann, 2001.

    Kennedy JF, KennedyJ, Eberhart RC, et al. Swarm intelligence[M]. San Francisco: Morgan Kaufmann, 2001.

[37] EvanschitzkyP, ShaoF, FühnerT, et al. Compensation of mask induced aberrations by projector wavefront control[C]. SPIE, 2011, 7973: 797329.

    EvanschitzkyP, ShaoF, FühnerT, et al. Compensation of mask induced aberrations by projector wavefront control[C]. SPIE, 2011, 7973: 797329.

[38] Zhao B, Guo C X, Cao Y J. A multiagent-based particle swarm optimization approach for optimal reactive power dispatch[J]. IEEE Transactions on Power Systems, 2005, 20(2): 1070-1078.

    Zhao B, Guo C X, Cao Y J. A multiagent-based particle swarm optimization approach for optimal reactive power dispatch[J]. IEEE Transactions on Power Systems, 2005, 20(2): 1070-1078.

[39] Ling S H. Iu H H C, Leung F H F, et al. Improved hybrid particle swarm optimized wavelet neural network for modeling the development of fluid dispensing for electronic packaging[J]. IEEE Transactions on Industrial Electronics, 2008, 55(9): 3447-3460.

    Ling S H. Iu H H C, Leung F H F, et al. Improved hybrid particle swarm optimized wavelet neural network for modeling the development of fluid dispensing for electronic packaging[J]. IEEE Transactions on Industrial Electronics, 2008, 55(9): 3447-3460.

王磊, 李思坤, 王向朝, 杨朝兴. 基于粒子群优化算法的光刻机光源掩模投影物镜联合优化方法[J]. 光学学报, 2017, 37(10): 1022001. Lei Wang, Sikun Li, Xiangzhao Wang, Chaoxing Yang. Source Mask Projector Optimization Method of Lithography Tools Based on Particle Swarm Optimization Algorithm[J]. Acta Optica Sinica, 2017, 37(10): 1022001.

本文已被 10 篇论文引用
被引统计数据来源于中国光学期刊网
引用该论文: TXT   |   EndNote

相关论文

加载中...

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!