光学学报, 2017, 37 (10): 1022001, 网络出版: 2018-09-07   

基于粒子群优化算法的光刻机光源掩模投影物镜联合优化方法 下载: 1408次

Source Mask Projector Optimization Method of Lithography Tools Based on Particle Swarm Optimization Algorithm
作者单位
1 中国科学院上海光学精密机械研究所信息光学与光电技术实验室, 上海 201800
2 中国科学院大学, 北京 100049
摘要
全芯片多参数联合优化是光刻分辨率增强技术的重要发展方向。提出了一种基于粒子群优化(PSO)算法的光源掩模投影物镜联合优化(SMPO)方法。将由像素表征的光源、由离散余弦变换基表征的掩模及由泽尼克系数表征的投影物镜编码为粒子,以图形误差作为评价函数,通过不断迭代更新粒子,实现光源掩模投影物镜联合优化。在标称条件和工艺条件下,采用含有交叉门的复杂掩模图形对所提方法的仿真验证表明,图形误差分别降低了94.2%和93.8%,有效提高了光刻成像质量。与基于遗传算法的SMPO方法相比,该方法具有更快的收敛速度。此外,该方法具有优化自由度高和优化后掩模可制造性强的优点。
Abstract
Full-chip multi-parameter optimization is an important development direction of resolution enhancement techniques in optical lithography. A source mask projector optimization (SMPO) method based on particle swarm optimization (PSO) algorithm is proposed. The pixels are used to represent source. The discrete cosine transform basis functions are used to represent the mask. The coefficients of Zernike polynomials are used to represent the projector. The source, the mask and the projector are encoded into particles. The pattern error is adopted as the evaluation function and the particles are updated iteratively to realize the SMPO. This method is simulated and verified by using the complex mask pattern with cross gate design in nominal condition and process condition. Results show that the pattern errors are reduced by 94.2% and 93.8%, respectively, and the quality of lithography imaging is effectively improved. Compared with SMPO method based on genetic algorithm, the proposed method has a faster convergence rate. Besides, the proposed method has the advantages of high degree of optimized freedom and enhanced manufacturability of the optimized mask pattern.

1 引言

光刻技术是极大规模集成电路制造的关键技术之一。光刻分辨率决定集成电路图形的特征尺寸。在曝光波长和数值孔径一定的情况下,业界普遍采用分辨率增强技术(RET)减小工艺因子,以提高光刻分辨率[1-2]。传统的分辨率增强技术包括对光源采用的离轴照明技术和对掩模采用的光学邻近效应校正技术及相移掩模技术等[3]。以上技术单独对照明光源或掩模图形进行优化,优化自由度较低。此外,光刻成像质量还受到投影物镜像差、掩模制造工艺限制等多种因素的影响。不同因素对光刻成像质量的影响并非相互独立,有些因素对光刻成像质量的影响是可以相互补偿的。例如,由于厚掩模效应引起相位误差,导致最佳焦面偏移和工艺窗口减小,而通过优化投影物镜光瞳可补偿厚掩模效应的影响[4]。随着特征尺寸的不断减小,单独优化某一个参数对提高光刻分辨率的效果有限,因此分辨率增强技术朝着多参数联合优化的方向发展[5-7]。2001年,Rosenbluth等[8]提出了同时优化照明光源和掩模图形的光源掩模优化(SMO)技术,有效提高了优化自由度。近来,ASML设计出一种称为FlexWave的波前控制技术[9],该技术可精确控制投影物镜波前,从而为实现光源掩模投影物镜联合优化(SMPO)提供了硬件支持。

Sears等[10-11]提出了通过优化投影物镜光瞳补偿厚掩模效应对光刻成像质量影响的方法。Han等[12]采用 Fletcher-Reeves共轭梯度(FR-CG)算法优化投影物镜光瞳,提高了优化速度。Li等[13-14]在含有像差的投影物镜光瞳下进行SMO,提高了光刻成像质量。然而,上述方法在优化中仅单独进行SMO和投影物镜优化,并未实现光源、掩模和投影物镜的联合优化。由于未充分利用光源、掩模和投影物镜对光刻成像质量影响的相互作用,上述方法难以获得最佳的优化效果。Fühner等[15]分析了光源、掩模及投影物镜对光刻成像质量影响的相互作用,并在此基础上提出了一种基于遗传算法(GA)的SMPO,该方法具有不需要掌握光刻先验知识,对成像模型和优化目标适应性强的优点。然而,该方法的收敛速度较慢,并且掩模采用矩形表征,优化自由度较低。随着双重图形技术(DPT)[16-17]、多重图形技术(MPT)[18-19]等技术的应用,曝光过程所需的掩模数目成倍增加。另一方面,全芯片优化设计[20-21]包含大量优化变量。这对优化速度提出了更高的要求。粒子群优化(PSO)算法[22]与遗传算法均属于启发式算法,但PSO算法不需要交叉和变异操作,具有更快的收敛速度[23]。本课题组在文献[ 24]中将PSO算法用于光源优化,并验证了该方法相比于基于遗传算法的光源优化具有更快的收敛速度。此外,为提高掩模优化自由度,通常采用像素代替矩形表征掩模图形[25-27]。然而,由像素表征的掩模图形的可制造性较差。为了平衡优化自由度和掩模可制造性,可以通过离散余弦变换(DCT)将掩模转换到频域,并选取掩模低频部分进行优化[28-30]。按照光刻分辨率增强技术的全芯片多参数联合优化的发展趋势,本文对文献[ 24]进行了扩展,实现了基于PSO算法的SMPO方法。

本文提出了一种基于PSO算法的光刻机SMPO方法。将由像素表征的光源、由DCT基表征的掩模及由泽尼克系数表征的投影物镜编码为粒子,以图形误差(PE)作为评价函数,通过不断迭代更新粒子,实现光源掩模投影物镜的联合优化。在标称条件和工艺条件下,采用含有交叉门的复杂掩模图形验证所提方法的有效性。与SMO方法相比,本方法具有更高的优化自由度,可获得更优的光刻成像质量。此外,本方法具有优化后掩模可制造性强和收敛速度快的优点。

2 光刻成像模型

SMPO方法包括正向光刻成像模型和逆向优化方法两部分。正向光刻成像模型对包含照明光源、掩模、投影物镜和硅片的成像系统进行建模[31]。逆向优化方法采用特定的优化算法对光源、掩模和投影物镜的参数进行优化,以提高光刻分辨率。由于逆向优化是一个反复迭代的过程,每次迭代都需要调用光刻成像模型,光刻成像模型对SMPO的速度具有较大的影响。

光刻成像系统示意图如图1所示。在科勒照明条件下,光源发出的光束均匀照射掩模并发生衍射,衍射光通过投影物镜系统,最终在像面得到掩模图形的像[32-33]

根据阿贝成像理论[32],空间像Ia(x,y)的成像公式为

Iax,y=-+Jf,g-+-+Hf+f',g+g'expi2πΦρ,ϕOf',g'·exp-i2πf'x+g'ydf'dg'2dfdg,(1)

式中(x,y)和(f,g)分别是像面和瞳面的归一化坐标,J(f,g)为有效光源,O(f',g')为掩模衍射谱,H(f,g)为理想光瞳。像差对成像系统的影响由exp i2πΦρ,ϕ表示,其中Φρ,ϕ的表达式为

Φρ,ϕ=j=1JzjFjρ,ϕ,(2)

式中zj为第j项泽尼克系数,Fj为第j项泽尼克多项式,ρ=f2+g2,ϕ=arctan(g/f)。

图 1. 光刻成像系统示意图

Fig. 1. Schematic diagram of lithography imaging system

下载图片 查看所有图片

空间像通过曝光、显影等流程,最终在硅片上的光刻胶中形成光刻胶像[3]。以Sigmoid函数[34-35]表征的光刻胶模型表达式为

Irx,y=sigIax,y=11+exp-αIax,y-tr,(3)

式中Irx,y为光刻胶像,tr为光刻胶阈值,α为光刻胶灵敏度。

3 基于PSO算法的SMPO方法

SMPO问题是一个非凸、非线性的优化问题,应选取合适的算法对其进行优化。PSO算法具有对光刻成像模型和优化目标适应性强、易于实现、收敛速度快等优点[24],适用于非凸、非线性的光源掩模投影物镜优化问题。本研究选取PSO算法作为逆向优化方法,将光源、掩模及投影物镜编码为粒子,通过不断迭代优化粒子,实现光源掩模投影物镜的联合优化。PSO算法受粒子编码方式的影响,根据具体的优化问题选取合适的编码方式,有利于提高PSO算法的优化性能[36]。本节首先介绍光源、掩模及投影物镜的编码方式,然后结合流程图对基于PSO算法的SMPO方法进行说明。

3.1 编码方式

光源及掩模的编码示意图如图2所示。如图2(a)所示,为保证系统远心性,仅对光源的部分像素进行编码,其余部分由对称操作获得[24, 32]。为防止光源的像素值超过1,采用参数转换

Jf,g=1+cosθf,g2,(4)

图 2. (a)光源编码和(b)掩模编码示意图

Fig. 2. Schematic of (a) source encoding and (b) mask encoding

下载图片 查看所有图片

式中θ为优化变量。将编码后的θ作为光源优化时粒子的位置信息,通过优化θ来实现光源的优化。

掩模编码示意图如图2(b)所示。光刻成像系统具有低通滤波的特性。光束经过掩模衍射后,仅有频率较低的衍射级次进入投影物镜并会聚到像面成像。由于DCT具有能量集中的特性,可通过DCT将掩模转换到频域,去除掩模高频部分,选取低频部分对掩模压缩后编码,提高掩模可制造性[28-30]。对于非对称性掩模,需对所有像素进行编码;对于对称性掩模,仅对部分像素进行编码,掩模的其余部分由对称操作获得。其中Nm×Nm为需要编码的像素数,NDCT为DCT系数。如图2(b)所示,可通过改变NDCT 的大小来控制掩模的数据压缩程度。NDCT 越大,压缩率越小,且当NDCT 大于或等于Nm时,不对掩模进行压缩。掩模O(x,y)经DCT得到掩模频谱D[O(x,y)],其中D表示DCT。选取掩模低频部分DL[O(x,y)],按照箭头所示方向将DL[O(x,y)]编码为掩模优化时的粒子位置信息,通过优化DL[O(x,y)]实现掩模优化,其中DL表示DCT及去除掩模高频部分的操作。

图3所示,采用部分泽尼克多项式拟合投影物镜光瞳。厚掩模效应引起相位误差,导致最佳焦面偏移和工艺窗口减小,影响光刻成像质量[11,37]。由于像差对光刻成像质量的影响与厚掩模效应类似,通过优化投影物镜光瞳可以补偿厚掩模效应引起的相位误差[14]。选取离焦项(z4)和各级球差项(z9,z16,z25,z36)表征投影物镜光瞳[15],将z4,z9,z16,z25,z36依次编码为粒子的位置信息,通过优化各阶泽尼克多项式的系数,实现投影物镜优化。图3(a)~(e)分别表示zj=0.2λ(j=4,9,16,25,36)时的投影物镜光瞳,图3(f)表示由图3(a)~(e)拟合的投影物镜光瞳。此时,Φρ,ϕ的表达式为

Φρ,ϕ=z4F4+z9F9+z16F16+z25F25+z36F36=z42ρ2-1+z96ρ4-6ρ2+1+z1620ρ6-30ρ4+12ρ2-1+z2570ρ8-140ρ6+90ρ4-20ρ2+1+z36252ρ10-630ρ8+650ρ6-210ρ4+30ρ2-1(5)

图 3. 投影物镜光瞳相位分布图。(a) z4;(b) z9;(c) z16;(d) z25;(e) z36;(f)拟合的投影物镜光瞳

Fig. 3. Projector pupil phase distribution. (a) z4; (b) z9; (c) z16; (d) z25; (e) z36; (f) fitting projector pupil

下载图片 查看所有图片

3.2 优化流程

基于PSO算法的SMPO方法的流程图如图4所示。如图4(a)所示,整个优化流程主要包括初始化、光源优化、掩模优化、投影物镜优化和停止判据等模块。首先初始化光源、掩模及投影物镜,将其分别编码为种群中某粒子的位置信息,并随机初始化其速度。然后,随机初始化其余各粒子的位置和速度。将各粒子的个体极值pbest初始化为其当前位置。根据评价函数计算各粒子的适应度值,并将具有最优适应度值的粒子的位置作为初始化的全局极值gbest。采用PSO算法依次进行光源优化、掩模优化和投影物镜优化,直到满足停止判据。

图 4. 基于PSO算法的SMPO方法流程图。(a)总流程图;(b)子流程图

Fig. 4. Flowcharts of SMPO method using PSO algorithm. (a) General flowchart; (b) sub-flowcharts

下载图片 查看所有图片

图4(b)上方框图所示,光源优化、掩模优化和投影物镜优化的流程基本类似,仅编码方式不同。粒子的速度和位置更新公式[38-39]可以表示为

vi,j(k+1)=φω·vi,jk+c1r1pi,jk-xi,jk+c2r2pg,jk-xi,jk,(6)xi,j(k+1)=xi,jk+vi,j(k+1),j=1,2,,d,(7)

式中 vi,jkxi,jk分别表示第k次迭代时粒子ij维的速度和位置,c1c2为学习因子,r1r2为[0,1]范围内的均匀随机数,压缩因子φ=2/2-C-C2-4C,C=c1+c2>4。 pi,jk表示第k次迭代时粒子ij维上的个体极值, pg,jk表示第k次迭代时整个种群第j维上的全局极值。ω为惯性权重,其公式为

ω=ωmax-kωmax-ωminkm,(8)

式中ωmaxωmin分别表示权重的最大值和最小值,k表示当前迭代次数,km表示最大迭代次数。

计算适应度值的流程如图4(b)下方框图所示。首先对粒子进行解码,得到此时的光源、掩模和投影物镜,然后代入成像公式得到光刻胶像,最后利用评价函数得到此时的适应度值。本研究采用图形误差作为评价函数,其表达式为

fPE=Ir-It22,(9)

式中Ir为掩模通过光刻成像系统后得到的光刻胶图形,It为目标图形。

对每个粒子,将当前的fPEpbest对应的fPE比较,若当前fPE优于pbest对应的fPE,则更新pbest为当前位置。将当前的fPEgbest对应的fPE比较,若当前fPE优于gbest对应的fPE,则更新gbest为当前位置。

在SMPO中,采用停止判据对整个优化流程进行控制。停止判据通常为迭代次数达到最大迭代次数。当满足停止判据时,将此时gbest解码后的信息作为优化后的光源、掩模和投影物镜输出,并结束优化。

4 数值仿真实验

为验证所提方法的有效性,采用含有交叉门的复杂掩模图形进行数值仿真实验。光刻机工作波长λ=193 nm,数值孔径为1.35,折射率n=1.44。光刻胶模型参数a=85,阈值tr=0.25。粒子群种群规模N=200,学习因子c1=c2=2.05,惯性权重ωmax=0.9,ωmin=0.4。停止判据中光源优化、掩模优化、投影物镜优化、SMPO的最大迭代次数依次为60、15、15、720。

4.1 标称条件下的优化结果

首先,在未考虑工艺条件对成像质量影响的标称条件下进行数值仿真实验。如图5(a)所示,初始光源的照明模式为四极照明,由11×11个像素点组成,其部分相干因子σ=0.2。如图5(b)所示,含有交叉门的复杂掩模由81×81个像素点组成,实际大小为1200 nm×1200 nm,特征尺寸为45 nm,Nm=41。DCT系数NDCT=31。如图5(c)所示,初始投影物镜光瞳不含任何像差。如图5(d)所示,优化前的光刻胶像无法分辨邻近图形,光刻成像质量较差。优化前的评价函数值为1002.4。

图 5. 标称条件下(a)初始光源;(b)初始掩模;(c)初始投影物镜光瞳;(d)初始光刻胶像

Fig. 5. (a) Initial source; (b) initial mask; (c) initial projector pupil; (d) initial photoresist image in nominal condition

下载图片 查看所有图片

采用基于PSO算法的SMPO方法进行优化,优化结果如图6所示。优化后的光源与二极照明模式类似,如图6 (a)所示。优化后的掩模如图6 (b)所示。如图6 (c)所示,优化后的投影物镜光瞳包含利于提高光刻成像质量的部分泽尼克系数。对比图5(d)和图6 (d)可知,优化后的光刻胶像可以分辨邻近图形,光刻成像质量更佳。图7为所提方法在未考虑工艺条件时的收敛曲线。通过优化,评价函数值从1002.4下降到58.0,下降了94.2%。由图7可知,评价函数值在前面的迭代中下降速度很快,200次迭代后评价函数值已经由1002.4下降到99.1,而后下降速度逐渐趋于平缓,说明本方法具有较快的收敛速度。图7中的突起是由于对掩模进行DCT后去除高频成分造成的,该操作可有效降低优化后掩模的复杂度,增强掩模可制造性。值得说明的是,虽然在优化中采用去除掩模高频部分的操作破坏了粒子此时搜索最优解的路径,但是随着迭代次数的增加,评价函数值仍然可以不断降低,证明所提方法具有良好的收敛性。

图 6. 标称条件下(a)优化后光源;(b)优化后掩模;(c)优化后投影物镜光瞳;(d)优化后光刻胶像

Fig. 6. (a) Optimized source; (b) optimized mask; (c) optimized projector pupil; (d) optimized photoresist image in nominal condition

下载图片 查看所有图片

图 7. 标称条件下的收敛曲线

Fig. 7. Convergence curve in nominal condition

下载图片 查看所有图片

4.2 工艺条件下的优化结果

实际的光刻机存在离焦、像差等工艺条件误差,从而影响光刻成像质量[3]。为验证所提方法同样适用于考虑工艺条件时的情况,再次采用含有交叉门的复杂掩模图形进行仿真实验。初始化的光源和掩模分别如图5(a)和(b)所示。选取像差中的离焦、像散、彗差和初级球差模拟工艺条件,对应的泽尼克系数取值分别为0.05λ,0.1λ,0.1λ,0.1λ。保持其他条件不变,在该工艺条件下优化前的投影物镜光瞳和光刻胶像分别如图8(a)和 (b)所示,此时的评价函数值为1011.2。

图 8. 工艺条件下(a)初始投影物镜光瞳;(b)初始光刻胶像

Fig. 8. (a) Initial projector pupil; (b) initial photoresist image in process condition

下载图片 查看所有图片

在该工艺条件下进行SMPO,优化后的结果如图9所示。如图9(a)所示,优化后的光源类似于二极照明模式,但复杂度较高。优化后的掩模和投影物镜光瞳分别如图9(b)和(c)所示。通过优化投影物镜光瞳,降低了工艺条件对成像质量的影响,并增加了有利于提高光刻成像质量的泽尼克系数。对比图9(d)和图8(b)可知,优化后光刻胶像的图像保真度更佳。考虑工艺条件时的收敛曲线如图10所示。通过优化,评价函数值从1011.2下降到62.5,下降了93.8%。与未考虑工艺条件时类似,评价函数在前面的迭代时下降速度很快,而后下降速度逐渐趋于平缓,并最终收敛。结果表明,所提方法不仅适用于标称条件,而且在工艺条件下同样适用。

图 9. 工艺条件下(a)优化后光源;(b)优化后掩模;(c)优化后投影物镜光瞳;(d)优化后光刻胶像

Fig. 9. (a) Optimized source; (b) optimized mask; (c) optimized projector pupil; (d) optimized photoresist image in process condition

下载图片 查看所有图片

图 10. 工艺条件下的收敛曲线

Fig. 10. Convergence curve in process condition

下载图片 查看所有图片

在相同的参数设置情况下,对含有交叉门的复杂掩模图形进行SMO,优化结果如图11所示。通过优化,评价函数值从1011.2下降到93.3,下降了90.8%。由图9图10图11可知,相比于SMO算法,由SMPO方法得到的评价函数值更小,优化后的光刻胶像的图像保真度更佳。结果表明,通过联合优化光源、掩模和投影物镜光瞳,有效地提高了优化自由度,进一步提高了光刻成像质量。

图 11. 基于PSO算法的SMO方法的优化结果。(a)优化后光源;(b)优化后掩模;(c)优化后光刻胶像;(d)收敛曲线

Fig. 11. Optimal results of SMO method based on PSO algorithm. (a) Optimized source; (b) optimized mask; (c) optimized photoresist image; (d) convergence curve

下载图片 查看所有图片

为验证由所提方法优化得到的掩模图形具有较强的可制造性,采用含有交叉门的复杂掩模图形在不采用数据压缩下进行数值仿真实验。将NDCT设置为41,保持其他参数不变,优化结果如图12所示。如图12(b)所示,优化后的掩模含有大量离散的像素点,掩模的可制造性较差。通过优化,评价函数值从1011.2下降到34.7,下降了96.6%。虽然在未对掩模进行数据压缩时得到了更小的评价函数值,但优化后掩模的可制造性较差。对比图9(b)和图12(b)可知,所提方法可有效降低掩模图形的复杂度,增强掩模的可制造性。

图 12. 未进行掩模图形数据压缩下的优化结果。(a)优化后光源;(b)优化后掩模;(c)优化后投影物镜光瞳;(d)优化后光刻胶像

Fig. 12. Optimal results without data compression of the mask pattern. (a) Optimized source; (b) optimized mask; (c) optimized projector pupil; (d) optimized resist image

下载图片 查看所有图片

为验证所提方法具有较快的收敛速度,采用基于遗传算法的SMPO进行数值仿真对比实验。遗传算法的交叉率为0.6,变异率为0.02,使用锦标赛选择机制。在其他参数设置不变的条件下,得到的优化结果如图13所示。优化后的光源类似于二极照明模式,如图13(a)所示。通过优化,评价函数值从1011.2下降到80.2,下降了92.1%。基于遗传算法的SMPO和基于PSO算法的SMPO的收敛曲线如图14所示。

图 13. 基于遗传算法的SMPO方法的优化结果。(a)优化后光源;(b)优化后掩模;(c)优化后投影物镜光瞳;(d)优化后光刻胶像

Fig. 13. Optimal results of SMPO method based on genetic algorithm. (a) Optimized source; (b) optimized mask; (c) optimized projector pupil; (d) optimized photoresist image

下载图片 查看所有图片

图 14. 基于遗传算法和PSO算法的SMPO方法的收敛曲线

Fig. 14. Convergence curves of SMPO methods based on GA and PSO algorithm

下载图片 查看所有图片

图14可知,基于遗传算法的SMPO在迭代优化720次后评价函数值下降到80.2,而基于PSO算法的SMPO在迭代优化160次后评价函数值已下降到80.1。基于PSO算法的SMPO相比于基于遗传算法的SMPO具有更快的收敛速度。虽然PSO算法和遗传算法均属于智能优化算法,但两者的信息共享机制不同。遗传算法采用染色体表示信息,通过交叉操作实现信息的共享,导向性不够明确,整个种群较为均匀地向最优区域移动。PSO算法采用粒子表示信息,通过全局最优解实现信息的共享,具有较明确的导向性,整个种群可以更快地移向最优区域[23]。遗传算法在优化时仅保留和利用染色体的位置信息,而PSO算法在优化时同时保留和利用粒子的位置和速度(位置变化程度)信息。此外,遗传算法是对染色体的片段操作,而PSO算法直接对粒子操作。相比于基于遗传算法的SMPO,基于PSO算法的SMPO具有更佳的优化性能和更快的收敛速度。

5 结论

本文提出了一种基于PSO算法的光刻机SMPO方法。在标称条件和工艺条件下,采用含有交叉门的复杂掩模图形验证了所提方法的有效性。仿真结果表明,采用所提方法优化后,图形误差分别降低了94.2%和93.8%,有效提高了光刻成像质量。本方法具有优化自由度高、优化后掩模可制造性强和收敛速度快的优点,适用于光刻机光源参数、掩模参数和投影物镜参数的联合优化。同时,本方法为实现全芯片多参数联合优化奠定了一定的技术基础。后续将在优化过程中增加光刻工艺参数对本方法进行进一步扩展,并采用并行计算等计算方式进一步提高优化速度。

参考文献

[1] ErdmannA, FarkasR, FühnerT, et al. Mask and source optimization for lithographic imaging systems[C]. SPIE, 2003, 5182: 88- 102.

    ErdmannA, FarkasR, FühnerT, et al. Mask and source optimization for lithographic imaging systems[C]. SPIE, 2003, 5182: 88- 102.

[2] Wong A KK. Resolution enhancement techniques in optical lithography[M]. Bellingham: SPIE Press, 2001.

    Wong A KK. Resolution enhancement techniques in optical lithography[M]. Bellingham: SPIE Press, 2001.

[3] MackC. Fundamental principles of optical lithography: the science of microfabrication[M]. Chichester: John Wiley and Sons, 2007.

    MackC. Fundamental principles of optical lithography: the science of microfabrication[M]. Chichester: John Wiley and Sons, 2007.

[4] Sears MK, Smith BW. Pupil wavefront manipulation to compensate for mask topography effects in optical nanolithography[C]. SPIE, 2013, 8683: 86830G.

    Sears MK, Smith BW. Pupil wavefront manipulation to compensate for mask topography effects in optical nanolithography[C]. SPIE, 2013, 8683: 86830G.

[5] FühnerT. Artificial evolution for the optimization of lithographic process conditions[D]. Erlangen-Nürnberg:FAU, 2013.

    FühnerT. Artificial evolution for the optimization of lithographic process conditions[D]. Erlangen-Nürnberg:FAU, 2013.

[6] Lai K. Review of computational lithography modeling: focusing on extending optical lithography and design-technology co-optimization[J]. Advanced Optical Technologies, 2012, 1(4): 249-267.

    Lai K. Review of computational lithography modeling: focusing on extending optical lithography and design-technology co-optimization[J]. Advanced Optical Technologies, 2012, 1(4): 249-267.

[7] Deng YF, Coskun TH, KyeJ, et al. Lithography target optimization with source-mask optimization[C]. SPIE, 2012, 8326: 83262P.

    Deng YF, Coskun TH, KyeJ, et al. Lithography target optimization with source-mask optimization[C]. SPIE, 2012, 8326: 83262P.

[8] Rosenbluth AE, BukofskyS, HibbsM, et al. Optimum mask and source patterns to print a given shape[C]. SPIE, 2001, 4346: 486- 502.

    Rosenbluth AE, BukofskyS, HibbsM, et al. Optimum mask and source patterns to print a given shape[C]. SPIE, 2001, 4346: 486- 502.

[9] StaalsF, AndryzhyieuskayaA, BakkerH, et al. Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner[C]. SPIE, 2011, 7973: 79731G.

    StaalsF, AndryzhyieuskayaA, BakkerH, et al. Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner[C]. SPIE, 2011, 7973: 79731G.

[10] Sears MK, FengerG, MailfertJ, et al. Extending SMO into the lens pupil domain[C]. SPIE, 2011, 7973: 79731B.

    Sears MK, FengerG, MailfertJ, et al. Extending SMO into the lens pupil domain[C]. SPIE, 2011, 7973: 79731B.

[11] Sears M K, Bekaert J, Smith B W. Lens wavefront compensation for 3D photomask effects in subwavelength optical lithography[J]. Applied Optics, 2013, 52(3): 314-322.

    Sears M K, Bekaert J, Smith B W. Lens wavefront compensation for 3D photomask effects in subwavelength optical lithography[J]. Applied Optics, 2013, 52(3): 314-322.

[12] Han C Y, Li Y Q, Dong L S, et al. Inverse pupil wavefront optimization for immersion lithography[J]. Applied Optics, 2014, 53(29): 6861-6871.

    Han C Y, Li Y Q, Dong L S, et al. Inverse pupil wavefront optimization for immersion lithography[J]. Applied Optics, 2014, 53(29): 6861-6871.

[13] Li J, Lam E Y. Robust source and mask optimization compensating for mask topography effects in computational lithography[J]. Optics Express, 2014, 22(8): 9471-9485.

    Li J, Lam E Y. Robust source and mask optimization compensating for mask topography effects in computational lithography[J]. Optics Express, 2014, 22(8): 9471-9485.

[14] LiJ, Lam EY. Joint optimization of source, mask, and pupil in optical lithography[C]. SPIE, 2014, 9052: 90520S.

    LiJ, Lam EY. Joint optimization of source, mask, and pupil in optical lithography[C]. SPIE, 2014, 9052: 90520S.

[15] FühnerT, EvanschitzkyP, ErdmannA. Mutual source, mask and projector pupil optimization[C]. SPIE, 2012, 8326: 83260I.

    FühnerT, EvanschitzkyP, ErdmannA. Mutual source, mask and projector pupil optimization[C]. SPIE, 2012, 8326: 83260I.

[16] WongP, Bisschop PD, RobertsonS, et al. Litho1-litho2 proximity differences for LELE and LPLE double patterning processes[C]. SPIE, 2012, 8326: 83260E.

    WongP, Bisschop PD, RobertsonS, et al. Litho1-litho2 proximity differences for LELE and LPLE double patterning processes[C]. SPIE, 2012, 8326: 83260E.

[17] YaegashiH, OyamaK, HaraA, et al. Overview: continuous evolution on double-patterning process[C]. SPIE, 2012, 8325: 83250B.

    YaegashiH, OyamaK, HaraA, et al. Overview: continuous evolution on double-patterning process[C]. SPIE, 2012, 8325: 83250B.

[18] YaegashiH, OyamaK, HaraA, et al. Recent progress on multiple-patterning process[C]. SPIE, 2014, 9051: 90510X.

    YaegashiH, OyamaK, HaraA, et al. Recent progress on multiple-patterning process[C]. SPIE, 2014, 9051: 90510X.

[19] NakajimaF, KodamaC, NakayamaK, et al. Self-aligned quadruple patterning-compliant placement[C]. SPIE, 2015, 9427: 942708.

    NakajimaF, KodamaC, NakayamaK, et al. Self-aligned quadruple patterning-compliant placement[C]. SPIE, 2015, 9427: 942708.

[20] Tsai MC, HsuS, Chen LQ, et al. Full-chip source and mask optimization[C]. SPIE, 2011, 7973: 79730A.

    Tsai MC, HsuS, Chen LQ, et al. Full-chip source and mask optimization[C]. SPIE, 2011, 7973: 79730A.

[21] Adam K, Lam M C, Cobb N, et al. Application of the hybrid Hopkins-Abbe method in full-chip OPC[J]. Microelectronic Engineering, 2009, 86(4-6): 492-496.

    Adam K, Lam M C, Cobb N, et al. Application of the hybrid Hopkins-Abbe method in full-chip OPC[J]. Microelectronic Engineering, 2009, 86(4-6): 492-496.

[22] KennedyJ, EberhartR. Particle swarm optimization[C]. 1995 IEEE International Conference on Neural Networks Proceedings, 1995, 4: 1942- 1948.

    KennedyJ, EberhartR. Particle swarm optimization[C]. 1995 IEEE International Conference on Neural Networks Proceedings, 1995, 4: 1942- 1948.

[23] Jones KO. Comparison of genetic algorithm and particle swarm optimization[C]. International Conference on Computer Systems and Technologies, 2005: 1- 6.

    Jones KO. Comparison of genetic algorithm and particle swarm optimization[C]. International Conference on Computer Systems and Technologies, 2005: 1- 6.

[24] 王磊, 李思坤, 王向朝, 等. 基于粒子群优化算法的光刻机光源优化方法[J]. 光学学报, 2015, 35(4): 0422002.

    王磊, 李思坤, 王向朝, 等. 基于粒子群优化算法的光刻机光源优化方法[J]. 光学学报, 2015, 35(4): 0422002.

    Wang Lei, Li Sikun, Wang Xiangzhao, et al. Source optimization using particle swarm optimization algorithm in optical lithography[J]. Acta Optica Sinica, 2015, 35(4): 0422002.

    Wang Lei, Li Sikun, Wang Xiangzhao, et al. Source optimization using particle swarm optimization algorithm in optical lithography[J]. Acta Optica Sinica, 2015, 35(4): 0422002.

[25] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2006, 5(4): 043002.

    Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2006, 5(4): 043002.

[26] Ma X, Wu B L, Song Z Y, et al. Fast pixel-based optical proximity correction based on nonparametric kernel regression[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(4): 043007.

    Ma X, Wu B L, Song Z Y, et al. Fast pixel-based optical proximity correction based on nonparametric kernel regression[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(4): 043007.

[27] ZhangJ, XiongW, WangY, et al. A highly efficient optimization algorithm for pixel manipulation in inverse lithography technique[C]. International Conference on Computer Aided Design, 2008, 182: 480- 487.

    ZhangJ, XiongW, WangY, et al. A highly efficient optimization algorithm for pixel manipulation in inverse lithography technique[C]. International Conference on Computer Aided Design, 2008, 182: 480- 487.

[28] Wu X F, Liu S Y, Lü W, et al. Robust and efficient inverse mask synthesis with basis function representation[J]. Journal of the Optical Society of America A, 2014, 31(12): B1-B9.

    Wu X F, Liu S Y, Lü W, et al. Robust and efficient inverse mask synthesis with basis function representation[J]. Journal of the Optical Society of America A, 2014, 31(12): B1-B9.

[29] Zhang JY, XiongW, Tsai MC, et al. Efficient mask design for inverse lithography technology based on 2D discrete cosine transformation (DCT)[C]. Simulation of Semiconductor Processes and Devices, 2007, 12: 49- 52.

    Zhang JY, XiongW, Tsai MC, et al. Efficient mask design for inverse lithography technology based on 2D discrete cosine transformation (DCT)[C]. Simulation of Semiconductor Processes and Devices, 2007, 12: 49- 52.

[30] Shen SH, YuP, Pan DZ. Enhanced DCT2-based inverse mask synthesis with initial SRAF insertion[C]. SPIE, 2008, 7122: 712241.

    Shen SH, YuP, Pan DZ. Enhanced DCT2-based inverse mask synthesis with initial SRAF insertion[C]. SPIE, 2008, 7122: 712241.

[31] MaX, Arce GR. Computational lithography[M]. New York: John Wiley and Sons, 2010.

    MaX, Arce GR. Computational lithography[M]. New York: John Wiley and Sons, 2010.

[32] Wong A KK. Optical imaging in projection microlithography[M]. Bellingham: SPIE Press, 2005.

    Wong A KK. Optical imaging in projection microlithography[M]. Bellingham: SPIE Press, 2005.

[33] 闫观勇, 李思坤, 王向朝. 基于二次规划的光刻机光源优化方法[J]. 光学学报, 2014, 34(10): 1022004.

    闫观勇, 李思坤, 王向朝. 基于二次规划的光刻机光源优化方法[J]. 光学学报, 2014, 34(10): 1022004.

    Yan Guanyong, Li Sikun, Wang Xiangzhao. Source optimization method of lithography tools based on quadratic programming[J]. Acta Optica Sinica, 2014, 34(10): 1022004.

    Yan Guanyong, Li Sikun, Wang Xiangzhao. Source optimization method of lithography tools based on quadratic programming[J]. Acta Optica Sinica, 2014, 34(10): 1022004.

[34] Poonawala A, Milanfar P. Mask design for optical microlithography-an inverse imaging problem[J]. IEEE Transactions on Image Processing, 2007, 16(3): 774-788.

    Poonawala A, Milanfar P. Mask design for optical microlithography-an inverse imaging problem[J]. IEEE Transactions on Image Processing, 2007, 16(3): 774-788.

[35] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics and Laser Technology, 2013, 45(2): 285-293.

    Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics and Laser Technology, 2013, 45(2): 285-293.

[36] Kennedy JF, KennedyJ, Eberhart RC, et al. Swarm intelligence[M]. San Francisco: Morgan Kaufmann, 2001.

    Kennedy JF, KennedyJ, Eberhart RC, et al. Swarm intelligence[M]. San Francisco: Morgan Kaufmann, 2001.

[37] EvanschitzkyP, ShaoF, FühnerT, et al. Compensation of mask induced aberrations by projector wavefront control[C]. SPIE, 2011, 7973: 797329.

    EvanschitzkyP, ShaoF, FühnerT, et al. Compensation of mask induced aberrations by projector wavefront control[C]. SPIE, 2011, 7973: 797329.

[38] Zhao B, Guo C X, Cao Y J. A multiagent-based particle swarm optimization approach for optimal reactive power dispatch[J]. IEEE Transactions on Power Systems, 2005, 20(2): 1070-1078.

    Zhao B, Guo C X, Cao Y J. A multiagent-based particle swarm optimization approach for optimal reactive power dispatch[J]. IEEE Transactions on Power Systems, 2005, 20(2): 1070-1078.

[39] Ling S H. Iu H H C, Leung F H F, et al. Improved hybrid particle swarm optimized wavelet neural network for modeling the development of fluid dispensing for electronic packaging[J]. IEEE Transactions on Industrial Electronics, 2008, 55(9): 3447-3460.

    Ling S H. Iu H H C, Leung F H F, et al. Improved hybrid particle swarm optimized wavelet neural network for modeling the development of fluid dispensing for electronic packaging[J]. IEEE Transactions on Industrial Electronics, 2008, 55(9): 3447-3460.

王磊, 李思坤, 王向朝, 杨朝兴. 基于粒子群优化算法的光刻机光源掩模投影物镜联合优化方法[J]. 光学学报, 2017, 37(10): 1022001. Lei Wang, Sikun Li, Xiangzhao Wang, Chaoxing Yang. Source Mask Projector Optimization Method of Lithography Tools Based on Particle Swarm Optimization Algorithm[J]. Acta Optica Sinica, 2017, 37(10): 1022001.

本文已被 10 篇论文引用
被引统计数据来源于中国光学期刊网
引用该论文: TXT   |   EndNote

相关论文

加载中...

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!