作者单位
摘要
防空兵学院红外与成像制导技术实验室, 河南 郑州 450052
介绍了一种基于 FPGA的红外图像的伪彩色化实现方法。这种方法首先通过 Matlab提取颜色表, 然后通过 FPGA控制颜色表的在线更新实现红外图像不同种类的伪彩色化效果。该方法大大提高了人们对红外图像感兴趣目标点的辨识能力。该方法已经在自主研发的红外观测系统中得到验证, 具有硬件实现简单、占用逻辑资源少、速度快、实时性强等特点。
红外图像 灰度分层 伪彩色 infrared image gray delaminating pseudo-color FPGA FPGA 
红外技术
2013, 35(5): 285

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!