Photonics Research, 2017, 5 (6): 06000649, Published Online: Dec. 7, 2017  

Experimental evidence of Bloch surface waves on photonic crystals with thin-film LiNbO3 as a top layer Download: 528次

Author Affiliations
1 Département d’Optique P. M. Duffieux, Institut FEMTO-ST, UMR 6174 CNRS, Université Bourgogne Franche-Comté, 15B Avenue des Montboucons, 25030 Besan?on Cedex, France
2 Optics & Photonics Technology Laboratory, Ecole Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, Neuchatel CH-2000, Switzerland
Figures & Tables

Fig. 1. Thickness profile of the TFLN.

下载图片 查看原文

Fig. 2. Schematic of the membrane-based 1DPhC fabrication process: (a) bonding of bulk LiNbO3 to Si with Cr and Au, (b) LiNbO3 polishing, (c) photoresist deposition, (d) UV lithography of the photoresist, (e) DRIE etching of Si and wet etching of Cr and Au, (f) photoresist removal, and (g) multilayer deposition.

下载图片 查看原文

Fig. 3. (a) Microscope images of the membranes. (b) Microscope images of the membranes after multilayer deposition.

下载图片 查看原文

Fig. 4. (a) FIB-SEM image of the membrane. (b) FIB-SEM image of the 1DPhC (suspended membrane).

下载图片 查看原文

Fig. 5. Schematic of the on-glass 1DPhC fabrication process: (a) obtaining TFLN with smart cut technology, (b) multilayer deposition, (c) UV glue bonding to the glass substrate, (d) protection of the sample with photoresist, (e) DRIE etching of Si and RIE etching of SiO2, and (f) photoresist removal.

下载图片 查看原文

Fig. 6. (a) Dispersion curves for the on-membrane 1DPhC. (b) Dispersion curves for the on-glass 1DPhC.

下载图片 查看原文

Fig. 7. (a) Experimental setup for the on-membrane 1DPhC. (b) Experimental setup for the on-glass 1DPhC.

下载图片 查看原文

Fig. 8. (a) Camera image intensity profile of the BWS-related reflectance dip for the membrane-based sample. (b) Camera image intensity profile of the BWS-related reflectance dip for the on-glass sample.

下载图片 查看原文

Tatiana Kovalevich, Djaffar Belharet, Laurent Robert, Myun-Sik Kim, Hans Peter Herzig, Thierry Grosjean, Maria-Pilar Bernal. Experimental evidence of Bloch surface waves on photonic crystals with thin-film LiNbO3 as a top layer[J]. Photonics Research, 2017, 5(6): 06000649.

引用该论文: TXT   |   EndNote

相关论文

加载中...

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!