作者单位
摘要
江苏自动化研究所,江苏 连云港 222061
为解决多输入格式视频在同一LCD上显示的问题,设计了一种基于IP核的支持多种视频输入接口的LCD控制器。采用IP核产品搭建系统的框架,系统核心控制CPU采用了Xilinx的MicroBlaze软核,以控制各IP核的初始化以及工作方式,系统对外通信通过串口实现; 通过Xilinx的集成逻辑分析仪IP核ILA在线采集输入、中间以及输出数据,验证系统的可行性及数据处理的正确性。最终的实验结果表明,本文设计的基于IP核的多接口LCD控制器能够驱动LCD原屏,并且能够支持多种接口的视频输入,显示画面稳定,满足作为PC输出设备及其他接口视频监视设备的要求。
视频接口 LCD控制器 IP核 FPGA FPGA Video port LCD controller IP core ILA ILA 
液晶与显示
2017, 32(2): 117
刘亮 *
作者单位
摘要
南京国电南自城乡电网自动化工程有限公司, 江苏 南京 210032
为消除芯片供货受限对装置生产造成的影响,基于FPGA开发了兼容原软件驱动的LCD控制器,搭配主流的SDRAM显存芯片,对装置的相关硬件电路进行升级.所述LCD控制器采用模块化设计,系统分为主机端接口、显存控制器、仲裁器、寄存器、异步FIFO等多个功能子模块,子模块使用有限状态机方法建模,以Verilog语言编程实现,通过参数化配置,能够在保持原软件系统不变的情况下,灵活支持不同分辨率的显示模式,有效满足应用的多样化需求.开发的LCD控制器在样本电路上进行了实测,运行稳定,图像清晰,视觉效果良好.实践证明,LCD控制器的设计具有良好的可配置性、可复用性和可移植性,可以作为类似问题的有效解决方案.
液晶显示控制器 模块化设计 有限状态机 FPGA FPGA LCD controller modularized design finite state machine 
液晶与显示
2015, 30(1): 109
作者单位
摘要
1 东莞理工学院 电子工程学院, 广东 东莞 523808
2 华南理工大学 电子与信息学院,广东 广州 510640
为实现 TFT-LCD 显示控制器的SOPC-IP设计, 选择FPGA- EP4CE6F17C8作为设计验证平台,采用verilog语言, 针对全彩AT070TN84 TFT-LCD,由Nios II软核处理器、SDRAM控制器、JTAG UART、LCD控制器、Avalon总线等组成TFT-LCD控制器。以Nios II软核处理器为核心,各IP核(如SDRAM控制器、TFT-LCD控制器等)通过Avalon总线相连接到Nios II上,并通过 Avalon总线接口模块、DMA模块、FIFO模块和时序产生模块完成了TFT-LCD控制器IP核设计,实现800×480分辨率,16 bit颜色深度的彩色图形显示控制。显示实验运行稳定,图像清晰,色彩丰富,无闪屏、错行等现象,视觉效果良好,设计具有良好的可配置性、复用性和移植性。实践证明该设计行之有效。文中给出了控制器的设计原理、实现方法、仿真与实验过程的同时,重点讲述与控制器IP核相关的各设计环节。
液晶屏控制器 直接存储器存取 Nios II Nios II SOPC-IP SOPC-IP LCD controller DMA 
液晶与显示
2014, 29(1): 48
作者单位
摘要
陕西理工学院 物理与电信工程学院, 陕西 汉中723001
为了适应高性能电子仪器仪表前端显示的应用需要, 提出了一种高速FPGA处理器控制低速液晶显示模块的实现方案。阐述了内置T6963C液晶显示模块的特性, 给出了FPGA与液晶显示屏TG240128A的硬件接口电路。依据图形显示编址方式和命令设置方法, 利用Verilog HDL硬件语言完成了液晶显示驱动模块设计,实现了图像数据的图形显示。仿真测试结果表明: 基于FPGA的显示驱动电路能够产生正确的时序, 发送数据符合T6963C控制器指令顺序, 完成图像数据的显示。该系统能够有效解决高速FPGA对低速 LCD的驱动及显示问题, 在可视化设计中具有一定应用参考价值。
图形显示 液晶控制器 FPGA FPGA graphic display LCD controller 
液晶与显示
2013, 28(3): 403
作者单位
摘要
中国科学院 长春光学精密机械与物理研究所,吉林 长春 130033
基于FPGA研究了液晶显示的驱动方法,参照液晶显示的逻辑和时序标准设计了可选择分辨率的通用液晶驱动,用Verilog硬件描述语言编写了通用液晶显示驱动控制器,可以实现不同分辨率的清晰动态显示,在不需要修改核心代码的情况下,普遍适用于多种分辨率图像切换显示。经实验验证,该通用液晶显示控制器占用资源少,能够满足液晶显示时序控制的要求;通用性好,可移植性强,在系统外扩高速存储设备后即可作为嵌入式系统的一部分驱动标准高分辨率液晶显示器。
液晶显示 LCD 控制器 liquid crystal display FPGA FPGA Verilog-HDL Verilog-HDL LCD controller 
液晶与显示
2012, 27(1): 87
作者单位
摘要
海军航空工程学院 信息融合技术研究所, 山东 烟台264001
针对便携式仪器仪表对彩屏液晶显示器件依赖性逐渐增强的现状,设计并研制了以Xilinx公司生产的型号为XC3S400的FPGA芯片为硬件核心,以嵌入式8051 IP核为时序控制核心的TFT-LCD实时显示控制器。采用FPGA内部的Block RAM资源对内核需要的存储器模块进行初始化配置,采用异步FIFO实现FPGA采集到的高速数据流与IP核处理速度之间的速率匹配。控制器具有较强的通用性,可以适用于多种型号液晶的控制,应用空间广阔。
8051 IP核 异步FIFO TFT-LCD控制器 8051 IP core asynchronous FIFO FPGA FPGA TFT-LCD controller 
液晶与显示
2011, 26(3): 339
作者单位
摘要
武警工程学院,西安 710086
针对嵌入式LCD控制器存在读写帧缓存冲突这一关键性问题,在分析比较两种常见解决方案基础上,提出了一种新的解决方案。设计并实现了一种新的嵌入式LCD控制器。引入时分复用技术解决了读写帧缓存的冲突问题;利用状态转移机制实现了读写SRAM操作。对LCD控制器内部SRAM接口模块的组成结构和工作原理进行了分析,并在Quartus II中对方案进行验证,效果良好。
嵌入式LCD控制器 帧缓存 时分复用技术 embedded LCD controller frame memory time-division multiplexing technology 
光电子技术
2011, 31(1): 20
作者单位
摘要
西南交通大学磁浮技术与磁浮列车教育部重点实验室,四川 成都 610031
概括性分析了目前使用较多的工控处理器的性能及其总线接口规范,提出了可以应用于多种工业处理器及其接口总线的通用TFT-LCD驱动方案,并开发出这种具有多通信接口工控TFT-LCD驱动控制器的硬件系统,最后利用FPGA系统设计技术和SOPC嵌入式技术验证了TFT-LCD驱动控制器和各种接口驱动的正确性,实现了一种多接口、高性能、低成本的工业人机交互界面模块。
EDA技术 TFT液晶 人机交互界面 液晶控制器 TFT liquid crystal display man-machine interface TFT LCD controller EDA technology 
液晶与显示
2010, 25(3): 434
作者单位
摘要
辽宁工程技术大学 机械工程学院,辽宁 阜新 123000
在Verilog HDL设计的行、场扫描时序基础上,设计并实现了基于FPGA的TFT-LCD控制器。该控制器在修改行、场扫描时序后可以驱动不同分辨率的TFT-LCD,具有很好的移植性。
TFT-LCD 控制器 FPGA FPGA TFT-LCD controller Verilog HDL Verilog HDL 
液晶与显示
2010, 25(1): 75
作者单位
摘要
汕头超声显示器有限公司,广东汕头 515065
介绍了A1LM9处理器S3C2440 LCD控制器的应用,TFT-LCM 外围电路的设计及S3C2440 LCD控制器与TFT-LCM 之间的硬件电路搭建和软件设计要点。
S3C2440 LCD控制器 DC-DC转换电路 背光驱动电路 VCOM 产生电路 S3C2440 LCD controller TFT-LCM TFT-LCM:DC-DC conversion circuit Backlight drive circuit VCOM generation circuit 
现代显示
2009, 20(7): 15

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!