光学学报, 2016, 36 (8): 0811001, 网络出版: 2016-08-18   

基于多染色体遗传算法的像素化光源掩模优化方法 下载: 515次

Pixelated Source Mask Optimization Based on Multi Chromosome Genetic Algorithm
杨朝兴 1,2,*李思坤 1,2王向朝 1,2
作者单位
1 中国科学院上海光学精密机械研究所信息光学与光电技术实验室, 上海 201800
2 中国科学院大学, 北京 100049
摘要
提出了一种基于多染色体遗传算法(GA)的像素化光源掩模优化(SMO)方法。该方法使用多染色体遗传算法,实现了像素化光源和像素化掩模的联合优化。与采用矩形掩模优化的单染色体GASMO方法相比,多染色体GASMO方法具有更高的优化自由度,可以获得更优的光刻成像质量和更快的优化收敛速度。典型逻辑图形的仿真实验表明,多染色体方法得到的最优光源和最优掩模的适应度值比单染色体方法小7.6%,提高了光刻成像质量。仿真实验还表明,多染色体方法仅需132代进化即可得到适应度值为5200的最优解,比单染色体方法少127代,加快了优化收敛速度。
Abstract
A pixelated source mask optimization (SMO) method based on multi chromosome genetic algorithm (GA) is introduced. This method uses multi chromosome genetic algorithm to optimize the pixelated source and pixelated mask simultaneously. In comparison with the single chromosome GASMO method that uses rectilinear mask representation, multi chromosome GASMO method can get high imaging quality and fast convergence speed. Simulation results show that the multi chromosome method can get an optimum solution with the fitness value is 7.6%, which is smaller than that of the single chromosome method. The multi chromosome method only needs 132 generations to converge to an optimal result with the fitness value of 5200, 127 generations less than the single chromosome method, and the optimization convergence speed is accelerated.
参考文献

[1] Roadmap I. International technology roadmap for semiconductors[J]. Executive Summary. Semiconductor Industry Association, 2009.

[2] Erdmann A, Farkas R, Fuhner T, et al. Mask and source optimization for lithographic imaging systems[C]. SPIE, 2003, 5182: 88-102.

[3] Erdmann A, Fuehner T, Schnattinger T, et al. Towards automatic mask and source optimization for optical lithography[C]. SPIE, 2004, 2004: 647.

[4] Fuhner T, Erdmann A. Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm[C]. SPIE, 2005, 5754: 415-426.

[5] Fuehner T, Erdmann A, Schnattinger T. Genetic algorithms for geometry optimization in lithographic imaging systems[C]. SPIE, 2004, 5558: 29-40.

[6] Yang C, Li S, Wang X. Efficient source mask optimization using multi pole source representation[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(4): 043001.

[7] Yang C, Wang X, Li S, et al. Source mask optimization using real-coded genetic algorithm[C]. SPIE, 2013, 8683: 86831T.

[8] 杨朝兴, 李思坤, 王向朝. 基于动态适应度函数的光源掩模优化方法[J]. 光学学报, 2016, 36(1): 0111006.

    Yang Chaoxing, Li Sikun, Wang Xiangzhao. Source mask optimization based on dynamic fitness function[J]. Acta Optica Sinica, 2016, 36(1): 0111006.

[9] Li S, Wang X, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics & Laser Technology, 2013, 45: 285-293.

[10] Jia N, Lam E Y. Pixelated source mask optimization for process robustness in optical lithography[J]. Opt Express, 2011, 19(20): 19384-19398.

[11] Ma X, Arce G R. Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography[J]. Opt Express, 2009, 17(7): 5783-5793.

[12] Wong A K K. Optical imaging in projection microlithography[C]. SPIE, 2005.

[13] Fuhner T, Evanschitzky P, Erdmann A. Mutual source, mask, and projector pupil optimization[C]. SPIE, 2012, 8326: 83260I.

[14] 郭立萍, 黄惠杰, 王向朝. 光学光刻中的离轴照明技术[J]. 激光杂志, 2005, 26(1): 23-25.

    Guo Liping, Huang Huijie, Wang Xiangzhao. Off-axis illumination for optical lithography[J]. Laser Journal, 2005, 26(1): 23-25.

[15] Chang J H, Chen C C, Melvin III L S. Hierarchical kernel generation for SMO application[C]. SPIE, 2011, 7973: 797323.

[16] 李兆泽, 李思坤, 王向朝. 基于随机并行梯度速降算法的光刻机光源与掩模联合优化方法[J]. 光学学报, 2014, 34(9): 0911002.

    Li Zhaoze, Li Sikun, Wang Xiangzhao. Source and mask optimization using stochastic parallel gradient descent algorithm in optical lithography[J]. Acta Optica Sinica, 2014, 34(9): 0911002.

[17] Peng Y, Zhang J, Wang Y, et al. Gradient-based source and mask optimization in optical lithography[J]. IEEE Transactions on Image Processing, 2011, 20(10): 2856-286.

[18] Shen Y, Jia N, Wong N, et al. Robust level-set-based inverse lithography[J]. Opt Express, 2011, 19(6): 5511-5521.

[19] Pati Y, Ghazanfarian A A, Pease R F. Exploiting structure in fast aerial image computation for integrated circuit patterns[J]. IEEE Transactions on, Semiconductor Manufacturing, 1997, 10(1): 62-74.

[20] 邢莎莎, 冉英华, 江海波, 等. 基于微反射镜阵列的光刻照明模式变换系统设计[J]. 光学学报, 2015, 35(11): 1111002.

    Xing Shasha, Ran Yinghua, Jiang Haibo, et al. Illumination mode conversion system design based on micromirror array in lithography[J]. Acta Optica Sinica, 2015, 35(11): 1111002.

[21] Borodovskya Y, Chengb W H, Schenkera R, et al. Pixelated phase mask as novel lithography RET[C]. SPIE, 2008, 6924: 69240E.

杨朝兴, 李思坤, 王向朝. 基于多染色体遗传算法的像素化光源掩模优化方法[J]. 光学学报, 2016, 36(8): 0811001. Yang Chaoxing, Li Sikun, Wang Xiangzhao. Pixelated Source Mask Optimization Based on Multi Chromosome Genetic Algorithm[J]. Acta Optica Sinica, 2016, 36(8): 0811001.

本文已被 6 篇论文引用
被引统计数据来源于中国光学期刊网
引用该论文: TXT   |   EndNote

相关论文

加载中...

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!