Author Affiliations
Abstract
1 Thales Research & Technology, 1 Avenue Augustin Fresnel, 91767 Palaiseau, France
2 Fraunhofer Institute for Applied Optics and Precision Engineering, Albert-Einstein-Strasse 7, 07745 Jena, Germany
3 Thales Alenia Space, 5 Allée des Gabians, BP 99, 06156 Cannes la Bocca Cedex, France
4 European Space Research and Technology Centre, Postbus 299, 2200 AG Noordwijk, The Netherlands
We report on subwavelength reflective gratings for hyperspectral applications operating in a very large spectral band (340–1040 nm). Our study concerns a blazed-binary grating having a period of 30 μm and composed of 2D subwavelength structures with size from 120 nm to 350 nm. We demonstrate the manufacturing of the gratings on 3″ wafers by two lithography technologies (e-beam and nanoimprint) followed by classical dry etching process. Optical measurements show that the subwavelength grating approach enables a broadband efficiency, polarization behaviour and wavefront quality improvement with respect to the requirements for the next generation of spectro-imagers for Earth observation missions. An outlook towards spherical substrate based on nanoimprint lithography is also reported with the results of mixed features replication (holes and pillars in the range of 160–330 nm) on a 540 mm concave substrate which demonstrate uniformity and accuracy capabilities over 3″ surface.
Diffraction grating Subwavelength structures Electron beam lithography Nanoimprint lithography Effective index media 
Journal of the European Optical Society-Rapid Publications
2023, 19(1): 2023004
作者单位
摘要
1 广西大学机械工程学院,广西 南宁 530004
2 中国科学院深圳先进技术研究院,广东 深圳 518055
红外光学成像系统的灵敏度与光学窗口透射率密切相关,锗窗口是红外光学系统的常用窗口,在锗窗口上制备亚波长结构可以增强抗反射性能从而提高透射率,且常选择凸面窗口以获得更大的视场角。针对在曲面窗口上亚波长结构的制备工艺较为复杂的难题,本文运用柔性紫外纳米压印方法(soft UV-NIL),在凸面锗窗口表面高效、高质量地制作了亚波长抗反射结构。首先基于时域有限差分方法优化设计了亚波长抗反射结构参数,然后基于soft UV-NIL工艺制备了符合设计要求的亚波长结构。测试结果表明,在3.55~5.55 μm波长范围内,凸面锗窗口单面平均透射率由65.81%提升到78.68%,在波长为4.4 μm处,透射率由65.85%提升至83.13%,实现了中红外宽波段抗反射效果。
光学设计 微结构制造 亚波长结构 柔性紫外纳米压印 抗反射 
激光与光电子学进展
2023, 60(5): 0522001
Author Affiliations
Abstract
State Key Laboratory for Organic Electronics and Information Displays, Institute of Advanced Materials, School of Materials Science and Engineering, Nanjing University of Posts and Telecommunications, Nanjing 210023, China
The lateral geometry and material property of plasmonic nanostructures are critical parameters for tailoring their optical resonance for sensing applications. While lateral geometry can be easily observed by a scanning electron microscope or an atomic force microscope, characterizing materials properties of plasmonic devices is not straightforward and requires delicate examination of material composition, cross-sectional thickness, and refractive index. In this study, a deep neural network is adopted to characterize these parameters of unknown plasmonic nanostructures through simple transmission spectra. The network architecture is established based on simulated data to achieve accurate identification of both geometric and material parameters. We then demonstrate that the network training by a mixture of simulated and experimental data can result in correct material property recognition. Our work may indicate a simple and intelligent characterization approach to plasmonic nanostructures by spectroscopic techniques.
plasmonics soft nanoimprint lithography deep neural network nanostructure characterization 
Chinese Optics Letters
2023, 21(1): 010004
作者单位
摘要
长春工业大学 机电工程学院,长春 130012
针对纳米压印过程中压印胶填充率低导致图案转移质量不佳,压印力过大损坏模板表面形貌等问题,提出一种基于压电驱动低频、低幅的振动辅助纳米压印方法制备光栅结构。在压印时施加横向一维振动,减小纳米压印过程中所需的压印力,提高压印胶对模板空腔的填充率。为研究双面光栅薄膜的周期变化对透射率的影响规律,运用时域有限差分法在波长500~1 500 nm范围内对不同周期参数的双面光栅结构进行仿真分析,得到周期参数变化对透射率的影响规律。在研制的振动装置上进行振动辅助纳米压印实验,并对制备出的双面光栅结构进行表面形貌表征以及透射率检测。实验结果表明,与无振动纳米压印技术相比,压印胶填充率显著提高,并改善了图案转移质量,减少大面积表面缺陷。在波长500~1 500 nm范围内,涂覆振动辅助纳米压印制备的双面光栅薄膜的SiO2比传统纳米压印制备的双面光栅薄膜的SiO2平均透射率提高4%,较无薄膜的SiO2平均透射率提高6%。
纳米压印 光栅结构 振动辅助 薄膜 填充率 透射率 Nanoimprint lithography Grating structure Vibration-assisted Film Filling rate Transmittance 
光子学报
2022, 51(6): 0631002
作者单位
摘要
1 湖南大学 机械与运载工程学院 国家高效磨削工程技术研究中心,湖南长沙40082
2 东南大学 江苏省微纳生物医疗器械设计与制造重点实验室,江苏南京11189
3 季华实验室,广东佛山528000
大面积纳米压印技术是一种利用模板压印方法大规模制备大面积微纳米结构的图形化技术,具有重复性好、成本低及结构分辨率高等优点。对各类聚合物及介质的快速结构成型使得大面积纳米压印技术在制备微纳光学、光电器件方面具有独特的优势,可应用于发光二极管、显示器、增强现实光波导及微流控芯片等众多领域,并在纳米技术商业化中发挥关键作用。首先对纳米压印技术进行介绍,然后从大面积纳米压印技术、大面积压印模板制备、大面积纳米压印技术的器件应用及其前景与挑战四个方面来介绍大面积纳米压印技术。
纳米压印 大面积压印模板 大面积图形化 器件应用 nanoimprint lithography large-area imprint template large-area patterning devices applications 
光学 精密工程
2022, 30(5): 555
作者单位
摘要
1 长春理工大学光电工程学院, 吉林 长春 130022
2 中国科学院纳米光子材料与器件重点实验室, 中国科学院纳米科学卓越创新中心, 国家纳米科学中心纳米加工实验室, 北京 100190
表面增强拉曼散射(SERS)是一种无损、 高灵敏、 快速检测痕量重金属离子的光谱技术。 通过调控和优化纳米结构图案和尺寸可显著增强局域表面等离子体共振(LSPR)与表面等离子体激元(SPP)的耦合以提升电磁场强度, 是获得高性能SERS芯片的重要新途径。 提出一种用于检测痕量汞离子的新型金属/介质三维周期纳米结构高性能SERS芯片。 利用新型应力分化式双层模板纳米压印方法实现了大面积高均一纳米结构SERS芯片的低成本、 批量制备。 该芯片成功用于痕量汞离子的高灵敏快速检测。 采用有限元方法对压印过程界面微区应力进行模拟, 通过调控压印模板纵向结构和横向尺寸对模板进行设计。 模拟结果表明, 纵向有台阶结构的双层模板图案区域呈现高、 低两个应力分区, 其中, 高应力区占图案~72%的面积, 其应力均匀性比单层模板提升17%; 低应力区分布在图案边缘~28%的区域, 可有效减小脱模切应力。 当模板横向尺寸从15 mm缩减至7 mm, 界面应力整体提升1~2个数量级, 将显著提高压印成功率。 使用不同横向尺寸的单、 双层模板进行压印实验结果表明, 尺寸为7 mm的压力分化式双层模板实现了大面积高均一纳米结构的高质量制备, 这与模拟结果高度一致。 在压印胶纳米结构上构筑金纳米颗粒得到金属/介质三维周期纳米结构SERS芯片。 此芯片对罗丹明6G分子的检测极限为2.08×10-12 mol·L-1, 增强因子达3×108, 检测均一性RSD为8.07%。 该芯片对汞离子的探测限浓度仅为10 ppt(5.0×10-11 mol·L-1), 浓度线性工作范围为5.0×10-11~5.0×10-5 mol·L-1, 跨度达6个数量级, 呈现良好的线性关系(R2=0.966), 在目前汞离子检测技术中具有显著优势。 提出一种通用的高灵敏快速检测痕量物质的SERS芯片设计和制备方法。 这种基于光学原理芯片“结构设计-批量制备-实际应用”的研究范式将连接芯片设计和批量制备两个关键点, 推动其实际应用。
表面增强拉曼散射(SERS) 痕量检测 纳米压印(NIL) 三维纳米结构 有限元分析 Surface enhanced Raman scattering (SERS) Design and fabrication of 3D SERS chips Finite element analysis (FEA) Nanoimprint lithography (NIL) Trace detection 
光谱学与光谱分析
2021, 41(12): 3782
作者单位
摘要
吉林大学 电子科学与工程学院集成光电国家重点实验室, 吉林 长春130012
在过去30年中, 有机电致发光器件(Organic light-emitting devices, OLEDs)在显示和照明面板领域得到了快速的发展和应用。然而, 固有的低光取出效率使OLEDs常需要集成光取出微纳结构。另一方面, 有机半导体激光器(Organic semiconductor lasers, OSLs)由于具有发射光谱宽、制备简单、成本低和易于集成的优点也引起了广泛关注。同OLEDs需要集成微纳结构一样, 在OSLs中也需要制备微纳结构用作谐振腔从而产生光增益来实现激射。在不同的微纳结构制备工艺中, 纳米压印技术(Nanoimprint lithography, NIL)作为一种高分辨率、高产率和低成本的图案化技术, 被认为是最有前景的技术之一。NIL不仅可以打破衍射极限和光散射的限制, 而且可以保证有机光电材料的光学和电学性能不受损害。本文回顾了利用NIL在OLEDs制备结构化电极、结构化功能层和结构化封装层以及在OSLs中制备结构化染料掺杂聚合物以及结构化发光材料的方案。
纳米压印技术 有机电致发光器件 有机半导体激光器 nanoimprint lithography organic light-emitting devices organic semiconductor laser 
液晶与显示
2021, 36(1): 8
作者单位
摘要
青岛理工大学 山东省增材制造工程技术研究中心, 山东 青岛 266520
为了解决在大尺寸非平整刚性衬底和易碎衬底上高效低成本批量化制造大面积微纳结构这一难题, 提出一种面向大面积微结构批量化制造的复合微纳压印光刻工艺。阐述了复合压印光刻的基本原理和工艺流程, 通过实验揭示了主要工艺参数(覆模速度、压印力、压印速度、固化时间)对于压印结构的影响及规律。最后, 利用课题组自主研发的复合压印光刻机, 并结合优化的工艺参数, 在3种不同的硬质基材(玻璃、PMMA、蓝宝石)上实现了微尺度柱状结构(最大图形区域为132 mm×119 mm)、微尺度光栅结构(最大直径为1524 cm的圆形区域)和纳尺度柱状结构(图形区域为47 mm×47 mm)的大面积微纳结构制造。研究结果表明, 提出的复合微纳米压印工艺为大面积微纳结构宏量可控制备、以及大尺寸非平整刚性衬底/易碎衬底大面积图形化提供了一种全新的解决方案, 具有广阔的工业化应用前景。
复合微纳米压印光刻 大面积纳米压印 复合软模具 非平整衬底 大面积微结构 composite imprint lithography large-area nanoimprint lithography composite flexible mold non-planar substrates large-area microstructures 
光学 精密工程
2019, 27(7): 1516
作者单位
摘要
西安工业大学 光电学院 陕西省薄膜技术与光学检测重点实验室, 陕西 西安 710021
为了在光学薄膜中引入连续轮廓的微结构, 综合利用薄膜的干涉效应与微结构的折反射、衍射效应, 提出一种薄膜光学微结构的制备工艺。基于时域有限差分方法设计了具有可见光波段减反射特性的薄膜光学锥形光栅; 采用单点金刚石车削技术, 结合纳米压印与电感耦合等离子体刻蚀技术, 在SiNx薄膜中制备出高1.6 μm, 周期4.1 μm的锥形光栅; 在可见光波段, SiNx薄膜光学锥形光栅的平均反射率为5.7%, 反射率的实验检测结果与仿真计算结果达到很高的一致性; 当入射光角度在30°以内, 薄膜光学锥形光栅的减反特性表现出对光波入射角度的不敏感性。该制备工艺突破了单点金刚石车削技术的材料局限, 将连续轮廓的微结构的直接形成工艺拓展至介质薄膜当中, 实现了宽光谱、宽入射角度的减反射。
薄膜光学微结构 锥形光栅 单点金刚石车削 纳米压印 减反射 thin film optical microstructure tapered grating single point diamond turning nanoimprint lithography anti-reflection 
应用光学
2019, 40(2): 342
作者单位
摘要
大连理工大学 机械工程学院 辽宁省微系统研究中心重点实验室,辽宁 大连 116024
用纳米压印工艺制备红外金属光栅时,硬模板压印极易造成光栅结构缺陷致使光栅性能下降。本文采用柔性纳米压印工艺作为替代方法制备了适合在3-5 μm波段工作,高度为100 nm,上下金属层厚为40 nm的双层金属纳米光栅,其光栅结构参数为:周期200 nm,线宽100 nm,深宽比1∶1。 该方法采用热纳米压印工艺将母模板光栅结构复制到IPS(Intermediate Ploymer Sheet)材料上,制作出压印所需软模板;随后通过紫外纳米压印工艺将IPS软模板压印到STU-7压印胶,得到结构完整均匀的介质光栅;最后在介质光栅上垂直热蒸镀金属铝,完成中红外双层金属纳米光栅的制备。对所制备光栅进行了测试,结果表明,所制备光栅在2.5~5 μm波段的TM偏振透射率超过70%,在2.7~5 μm波段的消光比超过30 dB,在2.72~3.93 μm波段的消光比超过35 dB,显示了优异的消光比特性和偏振特性。该研究结果在红外偏振探测、红外偏振传感等方面具有潜在应用。
纳米光栅 中红外光栅 金属光栅 柔性纳米压印 热蒸镀 nano grating mid-infrared grating metallic grating flexible nanoimprint lithography IPS(Intermediate PloymerSheet) IPS(Intermediate PloymerSheet) thermal evaporation 
光学 精密工程
2017, 25(12): 3034

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!