激光与光电子学进展, 2022, 59 (9): 0922008, 网络出版: 2022-05-10   

计算光刻研究及进展 下载: 6298次特邀综述

Research and Progress of Computational Lithography
作者单位
1 北京理工大学光电学院,光电成像与系统教育部重点实验室,北京 100081
2 中国科学院微电子研究所先导工艺研发中心,北京 100029
3 中国科学院大学微电子学院,北京 100049
摘要

光刻是将集成电路器件的结构图形从掩模转移到硅片或其他半导体基片表面上的工艺过程,是实现高端芯片量产的关键技术。在摩尔定律的推动下,光刻技术跨越了90~7 nm及以下的多个工艺节点,逐步逼近其分辨率的物理极限。同时,光刻系统的衍射受限特性,以及各类系统像差、误差和工艺偏差,都会严重影响光刻成像精度。此时,必须采用计算光刻技术来提高光刻成像分辨率和图形保真度。计算光刻是涉及光学、半导体技术、计算科学、图像与信号处理、材料科学、信息学等多个专业的交叉研究领域。它以光学成像和工艺建模为基础,采用数学方法对光刻成像过程进行全链路的仿真与优化,实现成像误差的高精度补偿,能够有效提升工艺窗口和芯片制造良率,降低光刻工艺的研发周期与成本,目前已成为高端芯片制程的核心环节之一。本文首先简单介绍了计算光刻的前身,即传统的分辨率增强技术,在此基础上介绍了计算光刻的基本原理、模型和算法。之后对光学邻近效应校正、光源优化和光源掩模联合优化三种常用的计算光刻技术进行了综述,总结了相关的研究进展、成果和应用。最后,阐述了计算光刻当前所面临的需求与挑战,并讨论了最新技术进展和未来发展方向。

Abstract

Lithography is the process that transfers the structure pattern of integrated circuit device from the mask to the wafer or the surface of other semiconductor substrate, and it is the key technology to implement the mass production of high-end chips. Driven by the Moore’s law, lithography technique has stepped over multiple process nodes from 90 nm to 7 nm and beyond, gradually approaching the physical limit of its resolution. Meanwhile, the lithography image precision is seriously influenced by the diffraction limit property of lithography system, and various system aberrations, errors, and process variations. In this case, the computational lithography techniques must be used to improve the lithography image resolution and fidelity. Computational lithography is a cross research field that involves multiple professional domains, including the optics, semiconductor technology, computing science, image and signal processing, materials science, information science and so on. It is based on the optical imaging and process models, and uses the mathematical methods to simulate and optimize the entire lithography imaging chain, thus realizes the high-precise compensation of the image errors, and is capable of effectively improving the process window and chip manufacturing yield, as well as reducing the research and development cycle and cost of lithography process. To date, it has become one of the core links of the high-end chip manufacturing process. This article first briefly introduces the predecessor of computational lithography, i.e., the traditional resolution enhancement technique, based on which the basic principles, models, and algorithms of computational lithography are introduced. Subsequently, three commonly used computational lithography techniques, including the optical proximity correction, source optimization, and source mask optimization, are reviewed, and the relevant research progress, achievements, and applications are summarized. Finally, this article expounds the current demands and challenges faced by the computational lithography, and discusses the latest technology progress and the future development directions.

1 引 言

集成电路(IC)是采用半导体工艺,在同一个硅片上制作大量电路基本元件(如晶体管、电阻、电容、电感等),通过布线将上述元件互联,并进行管壳封装后,所形成的具有特定电路功能的微型结构器件1-2。它具有体积小、耗电低、稳定性高等优点。自从20世纪50至60年代基尔比和诺伊思等科学家发明集成电路以来,其应用已经深入到国民经济的各个领域和人类生活的方方面面。小到智能手机、身份证、体内植入芯片、微米机器人,大到高铁、航天航空器、超大规模计算集群、5G网络、互联网和物联网,都能看到各类集成电路芯片的身影3。作为信息技术硬件载体的核心部件,集成电路被誉为现代信息产业的基石,其产生和进步已经深刻地改变了人类的社会形态和生活方式,甚至影响着人类未来的科技发展水平。

集成电路芯片的生产流程大体上可以分为设计、制造和封装测试三个环节1。光刻是集成电路制造中最关键、最精密、最复杂、成本最高的工艺(约占集成电路制造成本的30%以上)1,它需要根据电路设计要求,在晶圆上生成尺寸精确的特定电路图形。这一过程在很大程度上决定了集成电路制造的成败与精细化水平,对芯片制造良率和产率具有至关重要的影响作用。如图1所示,光刻工艺节点的水平通常用集成电路关键层设计版图的最小线宽来衡量,又称为关键尺寸(CD)4。另外,CD也可用来指代实际晶圆成像中的最小线条宽度。

图 1. 集成电路版图中的关键尺寸

Fig. 1. CD of integrated circuit layout

下载图片 查看所有图片

集成电路的图形转移需要依靠光刻系统成像来实现。光刻机是人类迄今为止能够制造的最复杂、最精密的光学成像系统,被誉为半导体工业“皇冠上的明珠”5图21展示了一个典型的深紫外(DUV)浸没式光刻机的成像过程和系统结构。

图 2. DUV浸没式光刻机的(a)成像过程示意图和(b)系统结构简图1

Fig. 2. (a) Sketch of imaging process and (b) diagram of structure for DUV immersion lithography tool[1]

下载图片 查看所有图片

图2中的光刻成像过程为例,首先需要根据集成电路的设计版图制备光刻掩模。DUV光刻掩模是在透光的玻璃基底表面镀上一层阻光的薄金属材料(通常为铬),之后采用掩模制造设备在金属层上刻蚀出所需的电路图案。DUV光刻机采用准分子激光器作为光源6,光源发射的深紫外光波经过科勒照明系统后,以特定的方式(如传统照明、离轴照明、像素化自由形态照明)对掩模进行均匀照射7-8。一部分光波透过掩模并发生衍射,在掩模下表面附近形成衍射近场,而掩模的衍射近场中携带了集成电路的图形信息。随后,光线继续传播形成远场衍射,一部分衍射光被投影物镜收集,会聚到附着光刻胶的晶圆表面。此时光波发生干涉,并在光刻胶表面形成稳定的光强分布,称为空间像。光刻胶是一种高分子光敏材料,其功能类似于照相机底片。当照射剂量达到一定程度时,光刻胶将发生光化学反应,其溶解度等特性将发生改变。经过光刻胶的曝光、显影(即采用显影液溶解并去除部分光刻胶)等工艺步骤,即可实现电路版图向晶圆的复刻转移,为后续的刻蚀、选择性沉积、扩散和离子注入掺杂等工艺奠定基础9

1965年Intel公司的联合创始人戈登·摩尔提出了著名的摩尔定律,预测出芯片所能容纳的晶体管等器件的数量约每18个月提升1倍,集成电路的性能也将随之提升1倍10。随着技术节点的不断推进,集成电路的CD不断缩小,半导体器件的集成度也在与日俱增。为满足集成电路制造良率的要求,必须不断提升光刻系统的成像分辨率和图形复刻精度。根据瑞利分辨率公式,投影光刻系统的成像分辨率可以表示为11

R=k1λNA

式中:R表示光刻系统所能分辨的成像图形最小线宽;λ为光源波长;NA为投影物镜的像方数值孔径;k1为工艺因子。如图2(b)所示,数值孔径定义为NA=nsinθmax,其中n为投影物镜与晶圆之间的介质折射率,θmax是投影物镜孔径角的半数,表示晶圆表面参与干涉成像光线的最大入射角度。工艺因子k1与光源形状、掩模透过率函数、光刻胶显影工艺等因素相关12。由瑞利公式可知,提高光刻分辨率的方式包括:缩短光源波长λ、增大数值孔径NA,以及降低工艺因子k1

由于受到光刻系统设计、加工和制造能力的限制,光源波长和NA在一段时间内相对固定。此时可以通过优化光刻工艺参数降低k1因子,达到提高成像分辨率的目的。能够降低k1因子的途径包括:改变光源几何形态、掩模图案、掩模透过率函数相位,以及光刻工艺等,这些方法统称为分辨率增强技术(RET)13。传统的RET技术包括光学邻近效应校正(OPC)14-15、相移掩模(PSM)16-17、离轴照明(OAI)7、双图形(DP)18、多重图形(MP)19和自对准工艺(SAP)20等。其中,OPC、PSM和OAI技术通过改变光学成像参数来提高分辨率,而DP、MP和SAP技术通过改变工艺流程来提高成像分辨率。

随着光刻技术发展到90~45 nm及以下工艺节点,集成电路的CD已经进入深亚波长量级,即CD远远小于曝光波长。此时,光波的干涉和衍射效应、厚掩模的三维效应、光波的偏振特性、光学投影物镜像差、系统误差、光刻工艺中的变化因素(如离焦、曝光剂量变化等)都将严重影响光刻成像结果,导致光刻图形产生扭曲和失真21。面对日益先进的工艺节点,传统的RET技术已经无法满足光刻成像误差的补偿精度要求。为此,研究人员将RET技术原理与数学建模和数值算法相结合,提出并发展了计算光刻技术2

计算光刻是基于光学成像和光刻工艺模型,采用数学方法对光刻系统参数和工艺参数进行独立或综合优化设计,用于提高光刻成像精度和IC制造良率,缩短IC工艺研发周期,降低IC制造成本的一类技术的总称。对于90 nm以下技术节点,必须采用计算光刻技术来提升光刻系统的成像性能,否则将无法实现尺寸精良的集成电路量产。因此,计算光刻是支撑光刻工艺节点不断推进的关键电子设计自动化(EDA)仿真技术。从发展历程来看,计算光刻的前身可以追溯到传统的RET技术。当RET技术更多地依赖数学建模和数值算法时,便逐步过渡到计算光刻技术。当前,计算光刻的研究涉及光学理论、半导体技术、数学建模、数值优化、先进图像与信号处理、人工智能、电子化学材料等多个领域,是一项典型的多学科交叉技术领域。

目前产业界常用的计算光刻技术包括:光源优化(SO)22-23、基于模型的OPC(MBOPC)1524、光源掩模优化(SMO)25-26等。同时,为了满足日趋严苛的成像精度与仿真性能要求,研究人员不断创新计算光刻模型与算法,研发出了多种高效率、高精度、高维度和高可制造性的新型计算光刻技术。在过去较长的一段时间内,193 nm的DUV光刻机一直是先进半导体生产中的主流设备,同时浸没式DUV光刻机与RET和计算光刻技术相结合,已成功实现了10~7 nm集成电路的量产。鉴于上述原因,本文主要以DUV光刻系统为例,介绍计算光刻的概念和技术特点,其中部分关键技术思想可以迁移到EUV光刻系统,并对EUV计算光刻技术的发展起到借鉴和参考作用27。第二章将首先简要介绍传统的RET技术。第三章将从数学优化理论的角度阐述计算光刻的基本问题,并介绍计算光刻的模型基础和基本算法原理。第四章将针对SO、OPC和SMO三种常用的计算光刻技术加以详细说明,并讨论其应用方法和效果。第五章将分析当前计算光刻所面临的问题与挑战,并介绍计算光刻的最新进展。这些新型技术有望在未来解决先进半导体工艺中的某些痛点问题,具有一定的启发意义。最后是结论与展望。

2 传统的分辨率增强技术

在实际的光刻工艺中,成像结果受到多种因素的影响。首先,光刻机的曝光系统是衍射受限的,光波在透过掩模后发生衍射,只有较低级次的衍射光能够被投影物镜收集。因此,掩模上任何理想的特征图形经过成像后都将产生扭曲和畸变。另外,相邻掩模区域的透射光线在晶圆上方形成干涉图像,掩模上任何一处位置的成像结果,都将受到周围一定范围内其他图形结构的影响。这种由干涉和衍射导致光刻成像偏差的现象称为光学邻近效应(OPE)。这将有可能导致成像的边缘轮廓走形,角落变圆滑,相邻图形之间出现桥连或相连图形出现断线等。

实际的光刻掩模并非一个无厚度的薄层,而是具有特定图形的三维立体结构。这种三维拓扑结构将改变掩模衍射场的振幅、相位和偏振态等参数,进而影响光刻成像结果和工艺窗口(PW),这种现象称为掩模的三维效应,或厚掩模效应。另外,对于高NA(>0.9)和超大NA(>1)光刻系统,照明偏振态将对成像结果产生无法忽视的影响。在实际系统中,投影物镜的像差会引起光刻图形的误差和偏移28。同时,还必须考虑光源曝光量变化、掩模和晶圆离焦等其他工艺变化因素导致的成像CD变化和图形畸变。在光刻胶的曝光、显影过程中,光刻空间像需要通过光刻胶内的潜像,转移为最终显影后的光刻胶成像轮廓,因此光刻胶效应也是影响成像结果的一个重要因素。

当集成电路制程步入180 nm及以下节点后,电路版图的CD已经开始小于曝光波长,业界也开始广泛使用RET技术来进一步提高光刻系统的成像分辨率,满足工艺窗口的需求。下面将简单介绍OPC、PSM和OAI三种常用的RET技术。

OPC技术通过对掩模版图进行修正,调制透过掩模光波的振幅分布,进而补偿前文提到的OPE效应1图3给出了OPC技术的原理示意图。图3(a)左侧为掩模优化前的成像过程,初始掩模图案与目标图形(即预期在晶圆处获得的理想成像)相一致,但是成像产生了明显的扭曲。在图3(a)右侧,采用OPC技术对掩模图案进行预畸变或在掩模上添加细小的亚分辨率辅助图形(SRAF),能够有效补偿OPE所引起的成像误差,使晶圆上的成像质量得到改善29-30图3(b)的左侧与右侧分别为OPC处理前后的掩模及其成像结果。根据修正方法的不同,OPC可分为基于规则的OPC(RBOPC)和MBOPC,而MBOPC又可分为基于边缘的OPC(EBOPC)和基于像素的OPC(PBOPC)。

图 3. OPC的原理示意图。(a)OPC优化前后的成像过程2;(b)OPC优化前后的掩模及其成像结果15

Fig. 3. Schematic diagram of OPC. (a) Imaging process before and after OPC optimization[2]; (b) masks and their image results before and after OPC optimization[15]

下载图片 查看所有图片

PSM通过改变相邻掩模图形透射光波的相位,在像面的特定区域产生相消干涉,以提高成像对比度和分辨率16图4(a)为二值掩模(非相移掩模)的成像过程示意图,其中黑色代表不透明材料铬,白色代表透明材料石英。光波通过掩模及投影系统后在光刻胶上成像,来自相邻图形的光波将在暗场区域发生相长干涉,提高阻光区域的光强,降低成像对比度。如图4(b)所示,交替型相移掩模(Alt-PSM)在相邻的透光区域之间引入180°相移。目前常用的制作工艺是通过控制玻璃基板的刻蚀深度来引入相位差31。如图4(b)所示,对第一、第三和第五条平行线的镂空部分进行深度刻蚀,使之透过的光线与相邻线条透过的光线产生180°的相位差,从而在暗场区域发生相消干涉以提高对比度和分辨率。目前业界常用的是衰减型相移掩模(Att-PSM)。Att-PSM采用半透明的硅化钼材料代替不透明的金属铬,使之允许6%的光强通过,同时在透射光中引入180°的相位差32

图 4. 两种不同类型掩模的成像过程示意图。(a)二值掩模;(b)Alt-PSM2

Fig. 4. Schematic diagram of imaging processes using two different types of masks. (a) Binary mask; (b) Alt-PSM[2]

下载图片 查看所有图片

自20世纪90年代起,OAI技术被提出并开始用于提升光刻系统的成像分辨率33。光波透过掩模后发生衍射,由于投影物镜的衍射受限特性,对于在轴照明来说,投影透镜仅能收集到0级和±1级衍射光,形成三束光成像4。但是0级衍射光无法形成干涉条纹,只能对背景光强产生贡献,因此不利于提高成像对比度。随着掩模图形线宽的减小,不同级次的衍射光线将更加分散,在轴照明系统将更加难以收集高级次的衍射光,致使成像质量下降。OAI的光源位置偏离主光轴,入射光线和0级衍射光都与主光轴存在一定夹角,投影物镜可收集到0级与+1级(或-1级)衍射光,形成两束光成像,从而保留了更多的高级次衍射光能量,有利于提升成像分辨率和焦深(DOF)7

图5展示了四种不同的照明方式,其中白色表示发光区域,黑色表示阻光区域。图5(a)为传统的圆形照明,它不属于OAI光源;图5(b)和图5(c)为二极照明;图5(d)和图5(e)为四极照明;图5(f)为环形照明。一般情况下,需要根据掩模图形结构来设置照明方式。对于单方向线条图案,可选取垂直于线条方向的二极照明;对于包含相互垂直的多线条图案,可选取四极照明;对于包含不同方向多边形的二维图案,一般选取环形照明。

图 5. 传统照明以及常见的OAI2。(a)传统圆形照明;(b)(c)二极照明;(d)(e)四极照明;(f)环形照明

Fig. 5. Conventional illumination and common OAIs2. (a) Conventional circular illumination; (b) (c) dipole illuminations; (d) (e) quadrapole illuminations; (f) annular illumination

下载图片 查看所有图片

3 计算光刻的基本原理

随着集成电路制程进入90~45 nm及以下的“深亚波长”技术节点,器件的最小线宽已经远远小于曝光波长,采用简单而基本的RET技术已无法保证光刻成像质量和工艺窗口满足要求。集成电路厂商在此时引入了MBOPC技术,以补偿光刻成像误差,确保芯片的制造良率。MBOPC技术率先使用数学模型和数值算法求解掩模图形的优化问题,从而催生了计算光刻技术2。20世纪90年代,衍射光学元件(DOE)被引入光刻照明系统中。DOE将入射平行光的一部分折射到指定位置,形成特定的光源照明条件34。随后人们提出了可编程照明系统FlexRay,并将其应用于高NA的浸没式光刻系统中。FlexRay通过操纵微反射镜的偏转角来获得指定的光源形状,有效地提升了照明设计的自由度35。这些技术为实现像素化的自由形态光刻照明奠定了硬件基础,促进了SO和SMO两种计算光刻技术的发展,并将光刻成像误差的补偿能力提升到了一个新的高度。

随着硬件实现能力的增强,光刻系统参数的优化自由度不断提高,RET技术也突破了传统的基于经验的设计思路和方法,向着数值化、模型化、解析化、算法化的方向发展。这使得像素化的光源和掩模优化836、像素化偏振态优化37、自由形态光瞳波前优化38等技术被相继提出和研究。而将图形误差逆向补偿的技术原理与计算科学深度融合,已成为计算光刻未来发展的必然趋势。下文将具体介绍计算光刻的基本原理和常用技术方案。

3.1 计算光刻的基本概念

计算光刻是集成电路制造端的关键EDA仿真技术,它采用数学模型对光刻成像过程进行仿真模拟,并对光刻系统的光源形状、掩模图案、照明偏振态、光瞳波前相位等系统参数,以及其他各项工艺参数进行独立或联合优化,以达到提升光刻成像质量,扩大工艺窗口,提高芯片制造良率的目的2

从数学观点出发,我们可以将计算光刻抽象为求解一类优化问题的过程,其具体含义是:通过改变一组带有限制条件的优化变量x,获得目标函数的极小值(或极大值)。优化问题的一般表达式为

x̂=minxf(x),s.t.ci(x)=0,i=1,,mdj(x)0,j=1,,p

式中:xRN是一个N维向量,代表优化变量;f(x)x的函数,称为优化目标函数;min是取极小值运算;x̂表示优化的最终结果;ci(x)=0,i=1,,mdj(x)0,  j=1,,p分别代表关于x的等式限制条件和不等式限制条件,mp分别表示两类限制条件的数量39

数学优化的核心在于“数学建模”和“优化算法”。数学建模需要完成三项任务,即确定优化变量x、构造目标函数f(x)和设置变量的限制条件。变量x包含了计算光刻所能优化的系统和工艺参数。例如OPC技术的优化变量是掩模图形,而SMO技术的优化变量包括光源形状和掩模图形。

目标函数用于在优化过程中实时监测成像质量,使算法能够通过不断迭代更新变量,逐步提高成像性能。通常,目标函数可包括光刻成像的图形误差(PE)、边缘放置误差(EPE)、对比度、归一化图像对数斜率(NILS)等像质评价指标1。这些像质评价函数需要通过光刻成像模型计算得到,因此光刻成像模型是计算光刻优化仿真的重要基础。光刻成像模型的精度关系到目标函数f(x)是否能够精确地反映实际成像性能与优化变量之间的关系,在很大程度上决定了算法是否能够获得正确的优化结果。

限制条件用来限定优化变量的取值范围,使最终的优化结果满足实际需求。在计算光刻问题中,限制条件主要体现在物理可实现性和制造约束等方面。例如,光源的强度分布必须是非负数(因为光强值不可能为负数),优化后的掩模图案必须满足可制造性条件等40

计算光刻的算法种类繁多,目前最常用的是基于梯度的迭代优化算法。梯度迭代算法是一种常见的数值优化求解方法2641。这类算法的大体流程具有一定的相似性,它们都是从优化变量的某一个初始值(记为x0)开始迭代的。迭代过程中,算法基于目标函数、变量限制条件,以及目标函数的一阶导数和二阶导数,不断地更新优化变量值,形成对最优结果的渐近估计。最后,当满足某种收敛条件时,算法终止并输出优化结果。不同迭代算法的主要区别在于更新优化变量的具体策略不同。常见的梯度迭代算法包括:最速下降法(SD)42、共轭梯度法(CG)43、拟牛顿法(QN)44和随机梯度下降法(SGD)45等。除此以外,计算光刻算法还包括遗传类算法46、压缩感知(CS)算法47、机器学习48-49和深度学习算法50-51等。这些算法各具优势,并在某些问题的求解上体现了很好的性能。

基于上述分析,我们不难理解计算光刻技术的发展与创新主要是围绕“建模”和“算法”两个方面展开的。无论是模型的构建还是算法的研发,都面临着精度和效率之间的相互制约与权衡。模型需要尽量精确地刻画光刻成像的全链路过程,并尽量全面地考虑各种因素对成像质量的影响。一方面,模型不能过于近似和简单,否则将会损失过多的物理信息,导致模型精度下降,最终影响优化结果的正确性。另一方面,模型也不能过于复杂,否则将导致计算量过大,直接影响算法的实施效率。

在给定数学模型的基础上,需要发展合适的数值算法对优化问题进行求解。算法设计必须考虑到时效性、准确性和稳定性。时效性是指算法需要具有足够高的运算效率,能够在尽量短的时间内收敛,并完成优化任务。准确性是指算法应保证其收敛结果为最优解或较优解,并且对数据误差、舍入误差等具有一定的抗干扰能力。换句话说,优化结果必须能够有效补偿光刻成像误差,使其满足曝光要求。稳定性是指算法要具有一定的泛化能力,当电路版图的结构和尺寸产生变化时,仍然能够具有很好的性能表现。

3.2 光刻成像模型简介

3.2.1 光刻空间像模型

在光刻系统中,光源发出的光通过照明系统,均匀地照射到掩模版上。光线透过掩模发生衍射,并携带了掩模图案信息,再经过投影物镜收集后,在晶圆表面发生干涉成像,形成一定的光强分布,即所谓的空间像4。光刻系统的成像原理如图6所示。常用的光刻空间像模型包括标量模型和矢量模型。其中,标量模型仅考虑光波的强度特性,而不考虑光波的矢量特性(即偏振态),其精度仅适用于小NA(<0.6)的光刻系统成像仿真。当光刻系统的NA值大于0.6时,尤其是对于超大数值孔径(>1)的浸没式光刻系统,标量模型中的傍轴近似不再成立,同时偏振特性对成像结果的影响已无法忽视。此时必须采用矢量模型方能精确地描述光刻系统的成像过程52

图 6. 光刻系统的成像原理示意图52

Fig. 6. Schematic diagram of imaging principle of lithography system[52]

下载图片 查看所有图片

投影光刻系统一般采用部分相干照明,其有效光源可以分解成一系列非相干的点光源。考虑到光波的矢量特性,光刻系统空间像的阿贝模型可以表示为

I=1JsumxsysJ(xs,ys)×p=x,y,zHpxs,ysMxs,ys2

式中:I表示空间像;J表示光源图形;(xs,ys)为光源面坐标;p=x,y,z表示沿三个坐标轴的偏振方向;Hpxs,ys表示沿p轴方向的系统等效点扩散函数;Mxs,ys表示点光源(xs,ys)照射下产生的掩模衍射近场;⊗表示卷积运算。

当采用掩模衍射的基尔霍夫近似(又称为薄掩模近似)时,即认为掩模厚度为无穷小,则公式(3)Mxs,ys=Bxs,ysM。其中,M表示薄掩模的二维透过率函数(与掩模图案相同);Bxs,ys为相移矩阵,表示点光源(xs,ys)发出的平面波斜入射至掩模后,导致掩模衍射近场的相位变化;☉表示逐点相乘运算。真实的光刻掩模具有三维立体结构,其衍射近场的振幅和相位与二维透过率函数并不一致,此时可以采用严格电磁场仿真方法或近似的厚掩模模型来求解Mxs,ys

3.2.2 光刻掩模模型

掩模版是光刻成像的对象,因此掩模模型是晶圆成像仿真结果的重要影响因素。以DUV光刻为例,其掩模结构主要分为三层:起承载作用的基底,由多种形状的阻光和透光区域组成的图形层,以及阻挡微粒等污染源的保护膜4。如图7(a)所示,当曝光波长远大于掩模图形的CD时,可以采用基尔霍夫薄掩模近似4。如图7(b)所示,对于45 nm及以下技术节点,掩模图形的CD与曝光波长处于同一量级,甚至小于曝光波长。此时薄掩模近似条件不再成立,必须采用厚掩模衍射近场模型,考虑掩模的三维结构对透射光波振幅、相位和偏振态的影响53

图 7. 薄掩模模型和厚掩模模型对比。(a)光刻掩模基尔霍夫近似;(b)厚掩模衍射近场模型53

Fig. 7. Comparison between thin-mask model and thick-mask model. (a) Kirchhoff approximation of photomask; (b) diffraction near-field model of thick mask[53]

下载图片 查看所有图片

采用严格电磁场仿真方法,通过精确求解电磁波在厚掩模中的传播问题,可以获得厚掩模的精确衍射近场。常用的严格电磁场仿真方法包括:时域有限差分法(FDTD)54、严格耦合波分析法(RCWA)55-56、有限元法(FEM)57和波导法(WG)等58。但是此类方法计算量庞大,无法适用于大面积掩模的成像仿真和计算光刻优化问题。因此,研究人员提出了多种近似的厚掩模模型和快速衍射近场计算方法,在计算精度与运算效率之间寻求平衡。典型的快速衍射近场计算方法包括:边界层法(BL)59、区域分解法(DDM)60、修正因子拟合法61-62、结构分解法63、机器学习方法64-65和深度学习方法66-67等。

3.2.3 光刻胶模型和刻蚀模型

光刻系统在晶圆表面形成空间像,经过光刻胶的曝光、显影和后续的刻蚀等过程,完成掩模版图的复刻。目前常用的光刻胶一般由聚合物、光敏化合物或光致酸产生剂、溶剂以及一些特殊功能的添加剂组成1。在光刻过程中,光刻胶需要经过涂胶、匀胶、曝光、烘烤和显影等工艺步骤,同时将发生相应的物理或光化学反应。用来描述光刻胶效应的常用模型包括严格物理模型和简化的紧凑模型。

严格模型采用偏微分方程组,精确描述光刻胶在曝光、显影过程中所产生的一系列物理和化学变化,能够精确计算光刻胶显影后的形态4。研究人员已经对光刻胶的反应过程进行了深入研究,并建立了严格的光刻胶模型68。但是严格模型计算复杂,导致运算效率低下。因此,在计算光刻的优化算法中往往使用更为简单的紧凑模型。与严格模型相比,紧凑模型所用的参数少、计算过程简单,在提升运算速度的同时也能获得足够的精度。目前,常用的紧凑光刻胶模型包括CM1(compact model 1)模型69、可变阈值光刻胶(VTR)模型70、硬阈值模型71等。

CM1模型的输入为掩模的空间像,输出为光刻胶三维形貌在某一阈值平面处的轮廓图形,此处简称光刻胶成像。CM1模型可以写为多个模型项的线性组合,其中每一项为不同幂次、不同导数阶次的空间像与高斯-拉盖尔函数的卷积。CM1模型的一般形式为

Z=ΓiciΦi,trΦ=kI±bnGs,p1/n

式中:Z为光刻胶成像;Γ为硬阈值函数;ci为加权系数;Φi为第i个模型项;tr为光刻胶阈值;为梯度算符;k为梯度阶次;为卷积运算符,Gs,p为高斯-拉盖尔函数,该卷积描述了后烘步骤中光酸或碱性中和剂的扩散作用,其中sp分别表示扩散长度和阶次;I的脚标±b分别表示后烘过程中酸或碱的中和作用参数。具体地,当Ib时,I+b=I-bI-b=0;当I<b时,I+b=0I-b=b-I。通过设置和校正citrknpbs等待定参数,可以使CM1模型计算出精确的光刻胶成像轮廓和CD值。

在VTR模型中,光刻胶阈值随光刻胶平面内的位置不同而变化,阈值设定与当前位置的参数(如光强、光强曲线斜率等)有关。传统的VTR模型将可变阈值视为光强和斜率的多项式函数,即tr(x,y)=T(Imax,Smax),其中xy表示光刻胶平面内的空间坐标;T为多项式函数,其各项系数可在模型校准过程中通过与真实实验数据比较获得,Imax为局部最大光强,Smax为局部光强曲线的最大斜率。随后,多种改进型的VTR模型被提出,如可变光刻胶阈值扩展(VTR-E)模型和VT5(variable threshold 5)模型等,这些改进模型考虑了更多的空间像参数72。VTR-E模型的阈值表达式为tr(x,y)=T(Imax,Smax,C),VT5模型的阈值表达式为tr(x,y)=T(Imin,Imax,Is,Smax,C),其中C为空间像因子,该参数有助于提升线端和圆角位置的光刻胶成像精度,Imin为局部最小光强,Is为局部最大光强斜率处的光强值。

对VTR模型中的阈值做进一步简化,使光刻胶阈值为恒定值,可得到硬阈值模型。由于一般光刻胶的显影对比度很高,因此可以采用硬阈值模型来近似表示光刻胶效应71。此时,光刻胶成像Z可表示为

Z=ΓI,tr=sigmoidI,tr

以正性光刻胶为例,该模型表示光刻胶上的曝光部分被完全去除,而未曝光的部分被完全保留。为了使上述模型能够应用于计算光刻的梯度优化算法之中,可以采用Sigmoid函数逼近硬阈值函数,将其转变为连续可导的函数73

经过曝光和显影后,特定区域的光刻胶将被清洗掉,在光刻胶上获得电路图案,同时露出下方的部分衬底。然后,采用刻蚀工艺可以将光刻胶上的图形转移至衬底上。刻蚀工艺主要分为湿法刻蚀和干法刻蚀74。湿法刻蚀使用纯化学方法进行刻蚀,该方法均匀性较差、精度较低,通常用于处理微米级电路版图。干法刻蚀主要利用等离子体或离子束轰击衬底来完成刻蚀。一般而言,刻蚀后衬底上得到的图形尺寸与光刻胶图形(作为刻蚀时的硬掩模)的尺寸是有差别的,而且这种差别在先进技术节点中更加显著。由于刻蚀后的结果与器件尺寸更接近,所以刻蚀偏差是计算光刻中必须考虑的关键指标。为了准确地预测刻蚀偏差,研究人员提供了多种刻蚀工艺模型,主要包括物理模型和经验模型等475

物理模型是根据加工对象及工艺条件,综合分析刻蚀过程中的物理反应机理和各种工艺因素对加工结果的影响,利用数学物理方程描述其反应原理和反应过程,通过对方程求解,得到所需的工艺参数和结果。该方法能够准确地描述刻蚀过程和刻蚀轮廓的演化,但是需要明确刻蚀过程的物理原理,计算难度较高。此类模型根据对物理过程描述方法的不同可以分为复杂物理模型和简化模型,前者包括:表面动力学模型、连续元胞自动机模型等76-77。后者包括:沉积和刻蚀反应模拟器78、混合等离子体模型等79

经验模型法也被称作黑箱模型,在很大程度上忽视了基本的物理过程。该模型基于实际测量的输入和输出并利用拟合方法建立出刻蚀的数学模型,常用拟合方法有:最小二乘法、主成分回归、神经网络等80。该类模型依赖于实验数据,对物理过程缺乏深刻理解,但在实际制造中容易应用。

3.3 计算光刻的数学模型

计算光刻数学模型的一般形式如式(2)所示。根据3.2节介绍的模型,可以计算出光刻成像质量的评价指标,并以此为依据构建优化目标函数。常用的像质评价指标包括:PE、EPE、成像对比度、NILS、掩模误差增强因子(MEEF)、工艺窗口和重叠工艺窗口(overlapped PW)等1

PE定义为实际光刻胶成像Z与目标图形Z˜之间的Frobenius范数的平方,即SPE=||Z˜-Z||F2。EPE定义为光刻胶成像轮廓与目标图形轮廓之间的相对位置偏差。EPE越小意味着曝光后的图形和目标图形越接近。一般来说,EPE的数值与所选择的边缘观测点有关,如图8所示,为了全面地衡量成像的整体边缘位置偏差,可对所有轮廓点上的EPE数值进行积分并做归一化,得到平均EPE,即SEPE=1/LccSEPEcdc,其中Lc为目标图形的轮廓总长,cdc表示沿轮廓方向进行积分,SEPEc表示轮廓上各点的边缘放置误差。

图 8. PE和EPE的示意图

Fig. 8. Schematic diagram of PE and EPE

下载图片 查看所有图片

成像对比度的定义式为(Imax-Imin)/(Imax+Imin),其中ImaxImin分别表示空间像强度在测量位置处的极大值和极小值。一般来讲,对比度越大说明空间像越清晰可辨,越有利于扩大工艺窗口。NILS表示空间像沿图形轮廓法线方向的陡度或斜率。MEEF定义为光刻胶成像的特征尺寸CDresist对于掩模特征尺寸CDmask的偏导数4,用于定量地表示掩模CD误差对光刻胶成像CD误差的影响,或光刻系统对掩模误差的放大作用。

工艺窗口是指在满足成像性能的条件下,曝光量和焦面位置的允许变化范围,这个范围分别用曝光裕量(EL)和焦深(DOF)表示。在计算工艺窗口时,最常用的成像指标是CD误差,有时也会采用NILS、光刻胶三维侧壁角、光刻胶损耗等指标81。在满足成像精度的条件下,EL表示允许光源实际曝光量相对于额定曝光量的最大偏差,通常用百分比表示;DOF表示允许焦面离焦的范围81

图9(a)和图9(b)中,上下两条曲线之间的封闭区域包含了所有满足要求的“曝光剂量-离焦值”组合,而这一区域中的最大内接矩形和最大内接椭圆分别被称为矩形工艺窗口和椭圆工艺窗口4。在实际的光刻工艺中,通常也会在给定EL的前提下(如EL为5%),寻求最大的DOF值,用以衡量工艺变化稳定性。该值越大,则工艺窗口越大4。对于一个包含多个关键图形的电路版图,往往需要确定多个测量位置,并在所有的测量位置上做工艺窗口分析,再把结果画在同一个“曝光剂量-离焦值”坐标系中。由于每一个测量位置上的工艺窗口不完全一样,它们互相重合的部分就是这些关键图形的重叠工艺窗口82

图 9. 工艺窗口示意图82。(a)矩形工艺窗口;(b)椭圆工艺窗口

Fig. 9. Schematic diagram of process windows[82]. (a) Rectangular process window; (b) elliptical process window

下载图片 查看所有图片

根据像质评价指标的定义不难看出,计算光刻的优化目标函数与空间像或光刻胶成像有关,而空间像和光刻胶成像又是各项系统参数和工艺参数的函数。因此,目标函数值由光源、掩模及其他系统参数和工艺参数决定。计算光刻模型将这些参数作为优化变量,通过算法获得最优的参数组合,以达到提升光刻成像性能的目的。

下面以OPC技术为例,说明计算光刻的数学模型建立过程。不失一般性,假设选定PE作为目标函数,则OPC的掩模优化问题可以表述为

M̂=argminMPE=argminM||Z˜-Z||F2,s.t. M(x,y)=0 or 1

式中:Z˜为目标图形;M̂为掩模优化的最终结果;M(x,y)=0 or 1是针对二元掩模的限制条件,表示掩模上任何位置的透过率为0(阻光)或1(透光)。

根据优化问题的不同属性,可以对计算光刻算法做进一步的分类与分析。从优化问题的分类来看,式(7)属于一种离散的、受限的、非线性的优化问题2,其求解过程较为复杂,这也正是研发计算光刻算法的难点之一。如图10所示,为了实现计算光刻的解析优化,一般需要进行一系列的数学变形和简化。以式(7)中的OPC问题为例,可以采用参数松弛法将二值掩模的透过率从0或1放宽到[0,1]的闭区间,将离散优化问题转化为连续优化问题。再采用参数变换法或罚函数法将受限优化问题转化为非受限问题83。一个常用的参数变换是M=(1+cosΘ)/2,其中Θ(-,+)为虚拟变量,无论Θ在实数域中如何变化,M的透过率取值范围始终为[0,1]。如果用Θ替代M作为优化变量,则将式(7)转变为非受限问题。对于连续的非受限优化问题,可以采用解析的梯度算法进行求解,但其得到的掩模优化结果必然是灰度的,并不具有物理可制造性。为了解决这个问题,可以在优化结束后对M̂的结果进行量化处理:即当M̂(x,y)>0.5时,令M̂(x,y)=1,否则令M̂(x,y)=0。经过阈值处理后,掩模的透过率将再次被限定为0或1,从而得到二元掩模图案。

图 10. 计算光刻问题的变换和求解过程83

Fig. 10. Transformation and solution process of computational lithography problem[83]

下载图片 查看所有图片

3.4 计算光刻基础算法简介

根据3.3节的方法构建数学模型后,便可采用数值优化算法来求解计算光刻问题。通常很难找到一种在所有计算光刻问题上均具有卓越性能的万能算法。目前,常用的计算光刻算法包括:梯度迭代算法、启发式算法、压缩感知算法、机器学习算法、深度学习算法等。

3.4.1 梯度迭代算法

梯度迭代算法是一类非常有效的计算光刻算法,被广泛地应用于专业软件的OPC和SMO模块中84。常用的梯度算法包括:SD算法30、CG算法43、SGD算法45、QN算法44和水平集算法85等。

SD算法在每次迭代中仅采用当前的梯度信息更新优化变量。该方法实现较为简单,经常用于参数优化的原理性探索,但其收敛速度较慢,通常只能得到近似最优解86。CG算法同时使用了当前梯度信息和历史梯度信息来指引优化变量的迭代更新,其收敛性能优于SD算法87。但SD和CG两种算法需要计算目标函数对于所有变量的偏导数,在处理大规模数据时耗时较长,优化效率较低。SGD算法在每步迭代时从众多优化变量中随机选择一个进行更新,因此只需计算目标函数对该变量的偏导数即可。通常情况下,SGD算法计算效率较高,但求解精度受限88。上述三种算法仅需要计算目标函数的一阶导数,因此计算复杂度相对较低。目前,这些算法已经在计算光刻领域获得了应用。

作为SD算法的推广,QN算法联合利用一阶导数和近似的二阶导数对优化变量进行更新。因此,该算法能够实现超线性的收敛速度,大幅提升了优化效率89。此外,与直接计算目标函数二阶导数的经典牛顿法相比,QN算法的计算复杂度显著降低。常用的QN算法有BFGS算法90、DFP算法91等。鉴于QN算法在收敛性能和计算效率上的优势,该算法已被广泛地应用于实际的计算光刻软件。

另外,水平集算法也常被用来实现光刻掩模和光源的优化,并在求解逆向光刻技术(ILT)问题时展现出了良好的性能92。该算法由Osher等93于1988年提出,通过引入流体力学的思想,解决了闭合曲线在发生形变时的几何拓扑变化问题。该方法将曲线的演化过程转换为偏微分方程来求解,使得计算结果更加稳定。在ILT问题中,构造一个三维水平集函数,使其零水平集对应于掩模图形的轮廓。通过梯度信息优化水平集函数,可以不断地更新零水平集和掩模图形轮廓,得到满足目标函数的优化结果94

3.4.2 启发式算法

计算光刻中的常见的启发式算法包括:遗传算法(GA)95、粒子群优化(PSO)算法96、模拟退火(SA)算法97等。GA算法通过模拟自然进化的过程来搜索最优解,它将可行解视为染色体,通过交叉、变异、复制等操作不断进化种群个体,能够以较大的概率找到全局最优解。此外,GA算法具有较强的鲁棒性,但收敛速度较慢98。PSO算法通过设计一种模拟鸟群捕食行为的粒子群来实现优化,每个粒子通过共享个体极值信息和当前的最优解来调整各自的速度和位置,通过不断迭代使所有粒子逐渐聚集并收敛到最优解99。SA算法的基本思想来自于固体退火原理。该算法在迭代搜索中引入随机因子,每次都以一定的概率向更差解的方向移动,从而跳出当前的局部最优点,以期获得全局最优解100

3.4.3 压缩感知算法

近年来,随着像素化光源和像素化掩模的引入,计算光刻的数据处理量大幅提升,严重影响了计算效率。为此,研究人员提出了基于CS的快速计算光刻算法47。CS算法首先假设待优化的光源和掩模图形能够在某组基函数上进行稀疏展开(又称为稀疏性假设)。之后,CS方法通过对电路版图的降采样来压缩优化模型的数据维度,并将计算光刻优化问题构造为lp范数(0p1)的最小化问题。通过在优化模型中加入稀疏正则项,可以采用CS重构算法获得优化后的光源和掩模图形。CS算法能够在提升光刻成像性能的同时,大幅提高计算效率。本文将在5.2节对压缩感知快速计算光刻技术进行详细介绍。

3.4.4 机器学习和深度学习算法

为了克服传统算法效率低下的问题,近年来研究人员开始将机器学习和深度学习技术引入计算光刻领域,为发展新型的快速仿真技术提供了思路。机器学习和深度学习模型在经过训练之后能够代替繁复的迭代计算过程,极大地提高了算法效率。因此,这类方法已成为计算光刻技术的未来发展趋势之一。

目前,已应用于计算光刻领域的机器学习方法包括:多层感知机(MLP)101、支持向量机(SVM)48、非参数核回归(NKR)102等。初步研究表明,这些算法不但能够提高计算效率,而且有望获得较高的仿真精度和成像质量。

相比传统的机器学习,深度学习具有更加强大的数据处理、特征提取和非线性预测的能力103。目前,计算光刻领域所采用的深度学习模型包括:卷积神经网络(CNN)104、全卷积网络(FCN)67、生成对抗网络(GAN)105、变分自动编码器(VAE)50、模型驱动卷积神经网络(MCNN)106和图卷积神经网络(GCN)107等。前期研究表明,深度学习技术在运算速度和仿真精度等方面展现了优秀的性能。

4 常用的计算光刻技术及其应用

4.1 OPC技术及其应用

传统的OPC技术发端于180 nm技术节点。OPC技术的发展经历了RBOPC和MBOPC两个阶段12,而MBOPC又可分为EBOPC和PBOPC两种技术108。例如,图11(a)中的目标图形为一对平行线条,其对应的RBOPC、EBOPC和PBOPC结果分别如图11(b)、图11(c)和图11(d)109所示。

图 11. 不同类型的OPC方法。(a)目标图形;(b)RBOPC结果;(c)EBOPC结果;(d)PBOPC结果109

Fig. 11. Different types of OPC methods. (a) Target pattern; (b) RBOPC result; (c) EBOPC result; (d) PBOPC result[109]

下载图片 查看所有图片

4.1.1 RBOPC技术

RBOPC技术首先需要建立掩模修正规则表格,然后通过查表的方式,对掩模中的线边缘位置、线端、拐角等局部结构进行修正14。修正规则可根据工程经验制定,或者根据实验和仿真数据拟合得出。RBOPC技术的优势在于运算速度快,并且优化后的掩模图形较为简单,便于加工制造。但其仅能对局部的OPE进行补偿,无法获得掩模优化问题的全局最优解,限制了成像分辨率和成像精度的进一步提升。因此,RBOPC常用于250 nm和180 nm技术节点。对于更先进的节点,可以将RBOPC和其他OPC技术混合使用,以满足成像质量的要求。图12110给出了RBOPC的优化结果和修正规则表格示例。

图 12. RBOPC的优化结果和修正规则表格示例110

Fig. 12. Examples of RBOPC optimization results and correction rule tables[110]

下载图片 查看所有图片

目前,常用的商业计算光刻软件,如KLA-Tencor公司的ProlithTM和Synopsys公司的S-LithoTM软件,也集成了RBOPC模块111-112。利用这些软件分析OPC结果,可以制定出较好的掩模修正规则。

4.1.2 MBOPC技术

随着光刻技术节点推进到90 nm及以下,RBOPC技术已无法满足高分辨、高保真的光刻成像要求。此时,业界开始广泛使用MBOPC技术对掩模图形做更为精准的修正。MBOPC是较早引入计算光刻理念的分辨率增强技术。该技术基于光刻成像的物理模型,对OPC问题进行数学建模,并采用数值优化算法修正掩模图案,相比RBOPC能够获得更高的成像分辨率和保真度。

1)EBOPC技术

早期的MBOPC技术仅对掩模图形的边缘进行移动,称为EBOPC技术。其原理是将掩模图形的边缘轮廓分割为若干片段,然后循环优化各个片段的位置,沿边缘的法线方向,将片段向外凸出或向内缩进,从而补偿光刻成像误差。与RBOPC相比,EBOPC具有更高的优化自由度,可以在迭代中不断监测光刻成像的EPE,并对所有边缘片段的位置进行联合优化,获得全局最优解。EBOPC的掩模优化结果具有阶梯状的图形边缘,虽然相比RBOPC掩模更为复杂,但其制造难度和成本可控。另外,EBOPC采用迭代优化算法,计算复杂度高于RBOPC,但目前成熟的EBOPC算法仍然能够在可接受的运行时间内获得全芯片或大面积掩模版图的优化结果。图13113展示了一个典型的EBOPC优化过程,以及优化后的成像结果。

图 13. EBOPC方法。(a)EBOPC的优化过程;(b)优化后的成像结果113

Fig. 13. EBOPC method. (a) Optimization process of EBOPC; (b) image result after optimization[113]

下载图片 查看所有图片

2007年,Chen等114采用牛顿法进行EBOPC优化,利用适当的OPE半径来控制牛顿法中雅可比矩阵的稀疏性,加快了掩模校正的速度,同时保证了成像质量。同年,Cai等115将RBOPC和EBOPC相结合,提出了自适应OPC方法,对掩模中的关键图形采用EBOPC技术优化,对于非关键图形采用RBOPC优化,取得了较高的成像精度和优化效率。随后,Li等116提出了基于遗传算法的混合OPC技术,采用RBOPC方法优化SRAF的大小和位置,然后采用EBOPC方法计算掩模布局的最优解。2008年,Gao等117利用主成分回归模型预测了掩模图案边缘片段的移动,在降低成像EPE的同时,减少了优化迭代次数。杨祎巍等118和沈泫等119针对拐角图案成像中的纹波效应,发展了基于动态自适应切分的EBOPC方法和基于空间曲线极值点的EBOPC方法。之后,杨祎巍等113利用映射模型对特征区域内的边缘片段进行移动优化,提升了EBOPC的计算速度,并得到了较高的校正精度。Huang等120提出一种针对拐角圆化的EBOPC方法,通过在拐角的凸顶点处加入斜向矩形来补偿顶点圆化的现象。

商业计算光刻软件通常带有EBOPC优化模块。例如,西门子EDA公司的Calibre软件提供了两种EBOPC功能:OPCpro(Sparse OPC)和nmOPC(Dense OPC)4121。OPCpro一般适用于较大的技术节点(如130~65 nm),迭代过程中需要计算并控制光刻成像的边缘误差,使其尽量接近目标图形。而nmOPC一般适用于较小的技术节点(如65~20 nm),在20 nm节点可以结合双图形技术使用,能够获得更高的图形保真度。

2)PBOPC技术

为了进一步提高45~22 nm及以下节点的光刻成像性能,必须对掩模图案做更为复杂和精细的修正。此时,业界开始研究并逐步使用PBOPC技术。PBOPC首先将掩模版图栅格化为像素阵列,然后对每一个掩模像素的透过率进行优化。与EBOPC相比,PBOPC进一步提升了优化自由度,掩模优化结果可具有自由曲线的轮廓边缘122。从目标图形出发,采用逆向优化算法对掩模进行像素级修正的OPC技术,又被称为ILT24

PBOPC不仅能够修正掩模的主体图形,而且可以在主体图形周围添加必要的SRAF。其中,主体图形是指面积较大且与目标图形具有较多重叠部分的掩模图案,而SRAF是与主体图形分离且小于分辨率极限的掩模图案。在成像过程中,SRAF本身并不在光刻胶上形成图像,但其透射和散射的光线可以对主体图形的成像产生贡献,改善掩模图案的局部周期特性,补偿成像误差,扩大工艺窗口123。事实上,早在90~45 nm技术节点,工艺线就已经开始引入SRAF作为提升工艺窗口的有效手段。但当时所采用的SRAF生成方法大多是基于经验和基于边缘的,思路类似于RBOPC和EBOPC技术。

PBOPC算法需要对大量数据进行处理,计算代价高昂,通常不会直接用于全芯片掩模的整体优化。一种可行的做法是采用RBOPC或EBOPC对掩模做整体优化,然后检测坏点,即那些难以满足工艺窗口要求的局部区域,再采用PBOPC对局部坏点做精细优化124。另外,直接由PBOPC获得的像素化掩模图案通常较为复杂,难以加工制造。为了提高掩模的可制造性,一般会采用掩模规则检测(MRC)方法对掩模图案进行规整化处理125。MRC可以控制主体图形与SRAF的尺寸、边缘形貌和间距,以获得易于制造的掩模图案。

前期,相关学者和业界专家提出了一系列PBOPC算法。1992年,Liu和Zakhor126提出了基于分支界限法和模拟退火算法的掩模修正方法,提高了光刻成像性能。1995年,Sherif等127将非相干衍射受限成像系统下的掩模优化问题表述为混合整数线性规划问题,并使用分支界限算法进行求解。2006年,Granik128采用局部变分梯度下降法求解了非线性的掩模优化问题,在保证成像质量的同时,节省了优化时间。同年,Pang等129总结了之前的ILT方法,并通过模拟仿真论述了ILT的优势。随后,Pang等130提出了基于水平集的ILT算法,能够同时优化主体图形和SRAF,提升了成像保真度。2007年,Poonawala等30提出了基于SD算法的PBOPC技术。该方法使用连续的S形函数模拟光刻胶效应,并利用全变分惩罚项约束了掩模的复杂度,有效提升了光刻成像质量。图1430展示了该算法的仿真结果。

图 14. 基于SD算法的PBOPC仿真结果。(a)目标图形;(b)优化后的灰度掩模;(c)优化后的二值掩模;(d)~(f)对应于第一行掩模图形的成像结果30

Fig. 14. PBOPC simulation results based on SD algorithm. (a) Target pattern; (b) optimized gray mask; (c) optimized binary mask; (d)-(f) imaging results corresponding to mask patterns of first row[30]

下载图片 查看所有图片

2007年,Ma和Arce131提出了一种基于梯度的多相位PSM优化方法,利用指数函数对掩模相位参数进行松弛,克服了传统方法仅能优化双相位PSM的不足,获得了较高的成像质量。该团队还提出了一种小波罚函数法,可用于有效降低掩模优化图案的复杂度。此后,该团队针对部分相干光刻成像系统,发展了基于SD和CG算法的ILT技术,并提出了一种MRC惩罚项,用于提升成像精度和收敛速度,降低掩模复杂度43图1543给出了上述两种ILT方法的仿真结果。

图 15. 基于SD和CG算法的ILT方法对比。(a)目标图形;(b)采用SD算法获得的掩模优化结果;(c)采用CG算法获得的掩模优化结果;(d)~(f)对应于第一行掩模图形的成像结果43

Fig. 15. Comparison of ILT methods based on SD and CG algorithms. (a) Target pattern; (b) mask optimization result obtained by SD algorithm; (c) mask optimization result obtained by CG algorithm; (d)-(f) imaging results corresponding to mask patterns of first row [43]

下载图片 查看所有图片

2008年,Jia等132采用CG算法实现了掩模逆向优化,提高了成像焦深,之后又采用SGD算法对掩模特征进行了训练,实现了高效的PBOPC优化45。同年,Chan等133将CG算法与有效集法相结合,对PSM进行了像素级优化,并在此基础上采用动态规划方式实现了Alt-PSM的初始化,以进一步提升成像保真度和对比度134。2009年以来,Shen和Shi等进一步扩展了前人的工作,针对掩模逆向优化分别提出了基于有限差分法的水平集方法、基于正则化的水平集方法135,以及结合共轭梯度的水平集方法136。此外,前期研究还提出了考虑部分相干成像系统137、矢量成像模型138,以及工艺变化带宽139等条件的水平集方法,获得了较高的成像保真度、鲁棒性、收敛速度和掩模可制造性。随后,Shen等140提出了基于半隐式加性算子分裂(SIAOS)方法的PBOPC算法,改善了掩模优化的收敛性。图16140为该方法与SGD方法的优化结果及收敛曲线对比。

图 16. 基于SIAOS和SGD的PBOPC方法对比。(a)掩模和成像优化结果;(b)收敛曲线140

Fig. 16. Comparison of PBOPC methods based on SIAOS and SGD. (a) Optimization results of mask and print image; (b) convergence curves[140]

下载图片 查看所有图片

2010年,Yu等141研究了目标函数中不同惩罚项对ILT优化结果的影响。2012年,研究人员又针对45 nm及以下技术节点的浸没式光刻系统,发展了基于矢量成像模型的高精度PBOPC算法36142。2014年,Lv等143-145先后提出了基于瀑布式多重网格、掩模滤波和基函数表征的PBOPC算法,以达到提升效率、成像精度和可制造性的目的。

2015年,Global Foundries的Lutich146提出了一种针对全芯片版图的SRAF快速插入方法,保证了光刻成像性能。同年,IBM公司Ionescu等147提出了基于稀疏掩模表示的ILT算法,提升了光刻成像性能与掩模可制造性。2016年,Wang等148采用PSO算法对掩模进行了像素级的逆向优化。2017年,Yenikaya149提出了基于模式匹配和层次结构重构的PBOPC方法。2018年,Choi等150将具有多图形和蚀刻感知的ILT技术应用于金属层版图的优化,解决了线路蚀刻后潜在的挤压和桥接问题。Azuma等151提出了一种制程差异感知的PBOPC方法,利用二次规划算法提升了目标图案边缘轮廓处的光强对比度,随后又将拉格朗日松弛法引入目标函数中,采用梯度算法求解,提升了光刻成像的保真度152

2019年,Su等153提出了一种基于ILT的SRAF提取与插入方法,保证了SRAF放置的可靠性,缩短了开发周期。此外,Gao等154提出了一种基于ILT的SRAF删除方法,以解决由掩模制造约束引起的SRAF冲突。2020年,Nikon公司的Okudaira等155将PBOPC问题描述为一个二次无约束的二值优化问题,并采用量子计算方式进行快速求解。2021年,Chen等156提出了基于虚拟边缘和掩模像素两步采样的PBOPC算法,提高了优化效率。同年,Yu等157采用Adam算法进行掩模逆向优化,并利用梯度的一阶矩和二阶矩来调整每个像素的学习率以提升算法的收敛速度,扩大了工艺窗口,图17157为该方法的优化结果。

图 17. 基于Adam和SGD算法的ILT优化结果对比。(a)目标掩模;(b)基于Adam算法的掩模优化结果;(c)基于SGD算法的掩模优化结果;(d)两种算法的收敛曲线;(e)基于Adam算法的光刻成像;(f)基于SGD算法的光刻成像157

Fig. 17. Comparison of ILT optimization results based on Adam and SGD algorithms. (a) Sarget pattern; (b) mask optimization result based on Adam algorithm; (c) mask optimization result based on SGD algorithm; (d) convergence curves of these two algorithms; (e) print image of Adam algorithm; (f) print image of SGD algorithm[157]

下载图片 查看所有图片

目前,多种商业软件都包含了PBOPC的优化仿真功能,例如Synopsys公司的proteus-ILT设计仿真软件158、西门子EDA公司的pxOPCTM模块159、ASML公司的CTM+模块160等。采用这些软件可以对掩模版图进行精细修正。

综上所述,OPC技术逐步从基于规则的范式向模型化、数据化和算法化的方向发展,对光刻成像误差的补偿精度和分辨率的提升能力也在不断加强,但这也使得OPC技术的计算复杂度不断提升,优化后的掩模图形趋于复杂,对掩模的可制造性设计提出了更高的要求。

4.2 光源优化技术及其应用

早期光刻机采用的照明模式具有简单的几何形状。如图5所示,这些照明模式可以采用少量的几何参数加以定义,如圆形照明的半径(部分相干因子σ)、环形照明的内外相干因子和环宽、四极照明的开口角等,因此又被称为参数化光源模型。最佳的照明模式与掩模的特征图形尺寸、周期特性、线条延伸方向等因素密切相关。前人对传统照明的参数优化进行了大量研究161-162,此处将这类方法统称为参数化SO技术。

2010年左右,自由形态DOE34和可编程照明系统35被用于光刻技术中,实现了像素化的照明模式。照明系统硬件的进步促进了像素化SO(PBSO)技术的产生与发展。PBSO技术将光源图形栅格化为像素阵列,并对每个像素的光强值进行优化,最后可形成具有连续光强分布的自由形态光源。图18163为PBSO技术和参数化SO技术的优化效果对比,PBSO可以获得更高的成像对比度和更大的工艺窗口。目前该技术通常与OPC联合使用,形成完整的光源-掩模联合优化流程。

图 18. PBSO和参数化SO的优化结果对比。(a)掩模版图;(b)参数化SO得到的二极照明;(c)PBSO得到的光源优化结果;(d)空间像横截面;(e)工艺窗口163

Fig. 18. Comparison of optimization results between PBSO and parametric SO. (a) Mask pattern; (b) dipole illumination obtained by parametric SO; (c) source optimization result obtained by PBSO; (d) cross-sections of aerial images; (e) process windows[163]

下载图片 查看所有图片

众多学者和技术人员对SO问题进行了深入研究。2004年,Granik等22提出了基于非负最小二乘法的PBSO算法,并证实了PBSO技术在提升光刻成像性能方面的优越性。2005年,Fühner等46提出了基于GA算法的PBSO技术,提升了收敛效率,同时扩大了焦深。2009年,Tian等164针对22 nm的接触孔图形提出了一种光源的全局优化方法,并证明了关键图形对光源优化结果有很大影响。2010年,Peng等41将梯度算法应用于PBSO,有效提升了计算速度和成像对比度。2012年,Yu等165在优化模型中采用目标图形的二次轮廓函数来近似光刻胶成像,并用CG算法加快了SO的收敛速度。

2014年,Jiang等166提出了基于SA的PBSO算法,扩大了工艺窗口。Yan等167提出了基于二次规划的PBSO算法,降低了成像误差,具有较快的收敛速度。Lv等163提出了基于无梯度优化的PBSO算法,降低了成像CD误差,提升了NILS。Wang等168提出了结合PSO的PBSO算法,与传统的遗传算法相比,能够降低成像误差,加快收敛速度。同年,Tawada等169提出了基于网格点聚类的PBSO方法,减少了优化参数,使运算速度得以提升。2017年,ASML公司的Finders等170提出了一种基于EUV光刻衍射成像性质的SO方法,通过考察不同离焦处的空间像平移和对比度来调整光源形状,扩大了工艺窗口。随后,Liu等171提出了厚掩模条件下的SO方法,在降低成像误差的同时,提升了曝光裕量。为了进一步提高计算效率,研究人员还提出了基于CS的快速SO技术172-174

4.3 光源掩模联合优化(SMO)技术及其应用

在28~22 nm及以下节点中,半导体器件的CD逐步逼近193 nm浸没式光刻机的分辨率极限,此时必须采用更加有效的计算光刻技术来提升成像分辨率和工艺窗口。SMO技术在这样的行业背景下应运而生。SMO组合了SO和OPC两种技术,通过联合优化光源结构和掩模图案,进一步提高了设计自由度,能够有效扩大关键区域的工艺窗口。早在2002年,IBM公司的Rosenbluth等25就提出了SMO的技术思想,并对光源和掩模的优化算法进行了初步研究,其优化结果如图1925所示。在此之后,众多研究者提出了不同的SMO优化策略和算法。ASML公司在其开发的NXT:1950光刻机中使用了像素化光源,为SMO技术的具体实施提供了硬件平台35。目前,SMO技术已经在22 nm及以下节点的集成电路量产中获得了非常成功的应用,现已成为先导工艺中不可或缺的计算光刻技术。

图 19. SMO技术及其对工艺窗口的提升25

Fig. 19. SMO technique and its improvement on process window[25]

下载图片 查看所有图片

在实际生产中,SMO往往和OPC联合使用。SMO算法的计算量较大,难以针对光源和全芯片掩模版图进行同时优化。业界采用的一个标准的全芯片SMO流程如图204所示。首先从全芯片掩模上提取若干(例如数十个)典型的局部图形,通常包括根据设计规则所制定的测试图形,以及基于经验所得到的弱点和坏点图形等。考虑到针对全部典型图形的SMO优化仍然具有很高的计算量,需要从典型图形全集中筛选出少量的代表性图形,并将这些代表性图形和初始光源输入到SMO程序中进行初步优化。在这个步骤中,光源优化需要考虑到所有代表性图形的成像结果,进而获得代表性图形的掩模优化图案,以及与之相匹配的最优光源。之后,固定优化后的光源,并对除代表性图形以外的其他典型图形进行OPC优化。在该过程中,如果光源模式能够满足所有典型图形的成像指标要求,则可以继续对全芯片掩模进行OPC建模与修正。否则,需要进行新一轮的SMO优化,进一步改进光源,使其能够满足所有典型图形的成像要求。当然,在进入全芯片掩模的OPC优化流程后,同样有可能出现某些坏点无法满足工艺窗口要求的情况。此时,需要将新检测到的坏点区域加入到典型图形的集合中,并重复SMO优化流程,改善照明条件,直到掩模上所有的关键区域均满足成像性能要求为止175

图 20. 面向全芯片版图优化的SMO工作流程4

Fig. 20. SMO workflow for full-chip layout optimization[4]

下载图片 查看所有图片

现有的标准化SMO流程通常可以拆解为光源单独优化、掩模单独优化、光源-掩模同步优化,以及光源-掩模交替优化等基本的实施步骤52。其中,同步优化是指在每一次迭代中同时更新光源和掩模的优化变量,而交替优化采用“…掩模优化、光源优化、掩模优化…”的流程,在每一次迭代中只更新光源变量或掩模变量,通过不断切换优化对象实现算法收敛。对上述几种基本的优化步骤进行组合,可以实现混合型的SMO优化流程52

前期,研究人员对比了同步型SMO(SISMO)、交替型SMO(SESMO)和混合型SMO(HSMO)三种算法的性能差异52。其中,SISMO能够有效利用光源和掩模之间的耦合关系达到协同优化的效果。SESMO利用光源优化和掩模优化的相互转化,易于跨越局部最优点,从而得到较小的收敛误差。HSMO融合了以上各种算法的优势,能够灵活控制光源和掩模的优化流程与参数设置,因此在成像精度和收敛速度方面具有更好的表现。图2152对比了SISMO、SESMO和HSMO三种算法的优化结果及收敛曲线。

图 21. SISMO、SESMO和HSMO三种算法的优化结果及收敛曲线52

Fig. 21. Optimization results and convergence curves of the SISMO, SESMO, and HSMO algorithms [52]

下载图片 查看所有图片

多年来,SMO技术受到了产业界和学术界的广泛关注与研究。2002年,IBM公司的Rosenbluth等25提出了基于衍射级次的SMO方法,提升了光刻工艺窗口。2004年,Erdmann等176提出了基于GA算法的SMO技术,提高了掩模的可制造性,扩大了焦深。受限于当时的制造能力,早期的SMO方法大多采用相对规则和简单的光源结构。随着自由形态照明技术的发展,像素化光源被应用于SMO技术中,提高了算法的优化自由度和光刻成像性能。

2005年,ASML公司的Socha等177提出了基于掩模频谱优化的SMO方法,提升了工艺窗口和成像对比度。2008年,Luminescent公司的Pang等178在考虑MEEF的条件下,采用水平集算法求解了SMO问题。同年,ASML的Hsu等179设计了一种可以同时考虑工艺窗口和MEEF的SMO方法。2009年,Ma等26提出了基于点元翻转的像素化SMO(PBSMO)算法。该算法利用目标函数的梯度信息指引光源像素和掩模像素的同步优化,对二元掩模和相移掩模均得到了较好的优化结果。同年,Nikon公司的Nakashima等180采用SMO对45 nm节点的SRAM单元层进行优化,提升了工艺窗口和成像对比度。随后,Synopsys公司的Mülders等181提出了一种基于掩模分割的SMO方法,改善了工艺窗口和成像精度。2011年,Peng等42提出了基于SD的PBSMO算法,采用光源和掩模的交替优化方式,引入了光源复杂度惩罚项,提升了成像保真度。图2242展示了该方法的优化结果和收敛曲线。之后,研究人员将光刻系统的矢量成像模型和CG算法引入PBSMO优化框架,提出了HSMO的优化流程,有效提升了超大NA浸没式光刻系统的成像保真度和工艺窗口182

图 22. PBSMO算法的优化结果及收敛曲线42

Fig. 22. Optimization results and convergence curve of PBSMO algorithm[42]

下载图片 查看所有图片

2011年,Samsung公司的Kim等183采用Tachyon SMO平台研究了针对逻辑电路的SMO方法,提升了复杂二维图形和密集接触孔等关键布局的工艺窗口。同年,Jia等184采用CG算法优化光源和掩模,提高了光刻成像的工艺变化稳定性。2012年,Li等185提出了坏点感知的快速PBSMO算法,通过在目标函数中引入权重矩阵提升关键区域的成像性能,同时提高了收敛速度。随后,Li等44和Wu等186分别提出了采用增广拉格朗日方法(ALM)和Zernike光源基函数的快速PBSMO算法。图2344对比了ALM和CG两种算法得到的SMO优化结果及平均工艺窗口。

图 23. ALM算法和CG算法的SMO优化结果对比。(a)~(f)ALM算法和CG算法得到的光源、掩模和成像优化结果;(g)两种算法得到的平均工艺窗口44

Fig. 23. Comparison of SMO optimization results between ALM algorithm and CG algorithm. (a)-(f) Optimized sources, masks, and print images obtained by ALM algorithm and CG algorithm, respectively; (g) average process windows obtained by two algorithms[44]

下载图片 查看所有图片

2013年,Li等187针对光刻系统的离焦、曝光量变化和像差等因素,采用像素翻转的方法进行了SMO优化,提升了成像对比度和保真度。2016年,Global Foundries和ASML-Brion的研究人员提出了考虑层间约束的SMO算法,用于保持足够的层间覆盖裕度,增大了工艺窗口188。同年,Mentor公司和Global Foundries公司的研究人员将厚掩模的区域分解模型和紧凑光刻胶模型应用于SMO技术,提升了优化结果的准确性,降低了工艺变化带宽189。Yang等190-191采用多染色体遗传算法求解了PBSMO问题,考虑了离焦和彗差对光刻结果的影响,降低了算法对工艺条件误差的敏感度。2018年,Shen192-193提出了基于窄带水平集和半隐式水平集的PBSMO算法,提升了成像质量和运算效率。图24对比了SD算法、传统水平集算法和窄带水平集算法的SMO仿真结果。2020年,Chen等194提出了一种基于协方差矩阵自适应演化策略的SMO算法,通过自适应地更新解空间和搜索步长,提高了成像性能。

图 24. 传统SD算法、传统水平集算法和窄带水平集算法的SMO仿真结果对比。(a)~(l)三种算法的光源、掩模和成像优化结果;(m)各种算法的收敛曲线192

Fig. 24. Comparison of SMO simulation results among SD algorithm, conventional level-set algorithm, and narrow-band level-set algorithm. (a)-(l) Optimization results of sources, masks, and print images based on three algorithms; (m) convergence curves of these algorithms[192]

下载图片 查看所有图片

在系统误差敏感度分析方面,Alleaume和Aoyama等195-196研究了实际光源误差、光源形状、杂散光、像差和工件台震动等因素对PBSMO优化结果的影响。2015年,Han等197采用非理想模型下的鲁棒性混合SMO(robust hybrid SMO)算法,补偿了光源非均匀性和杂散光对成像结果的影响。图25对比了鲁棒性混合SMO算法和传统SMO算法的仿真结果。随后,Li等198基于归一化随机梯度下降法,提出了一种低像差敏感度的PBSMO算法,提高了光刻成像的鲁棒性。最近,随着EUV光刻技术的成熟与应用,研究人员提出了多种EUV-SMO方法,这一部分内容将在5.6节中介绍。

图 25. 传统SMO和鲁棒性混合SMO算法的仿真结果对比(考虑光源非均匀性和杂散光的影响)。(a)(f)光源优化结果;(b)(g)掩模优化结果;(c)(h)理想参数下的光刻胶成像;(d)(i)光源非均匀性影响下的光刻胶成像(光源非均匀性标准偏差σJ=0.05);(e)(j)杂散光影响下的光刻胶成像(杂散光占比S=2%)197

Fig. 25. Comparison of simulation results between traditional SMO and robust hybrid SMO algorithms (considering the influence of source blur and flare). (a) (f) Source optimization results; (b) (g) mask optimization results; (c) (h) print images under ideal parameters; (d) (i) print images influenced by the source blur (standard deviation of source blur σJ=0.05); (e) (j) print images influenced by the flare (flare ratio S=2%)[197]

下载图片 查看所有图片

目前,多种计算光刻的商用软件已经包含了SMO的优化仿真功能,例如西门子EDA公司的Calibre、ASML-Brion的Tachyon等199-200都提供了SMO的软件包,可以采用这些优化仿真工具对光源和掩模版图进行高效处理。

综上所述,SMO技术是在SO和OPC两种技术的基础上发展起来的。前期研究表明,SMO相比OPC具有更高的成像误差补偿能力。但是,仅对SO和OPC进行简单组合并不能有效地解决实际光刻工艺中的问题,还需要对SMO的具体工作流程进行合理设计,并考虑SMO技术在整个工艺流程中的应用策略,才能充分发挥光源-掩模协同优化的功效。

5 计算光刻的最新进展

5.1 计算光刻的需求与挑战

在摩尔定律的推动下,先进半导体工艺技术不断进步,台积电(TSMC)、三星、Intel等国际知名半导体厂商分别实现了14~7 nm的芯片量产。2020年以来,台积电甚至推出了5 nm节点的先进制程,并已将3 nm光刻工艺研发提上了日程。先进制程的突飞猛进一部分得益于13.5 nm EUV光刻机的成功研发,同时也离不开计算光刻技术的支撑。然而,先进光刻工艺中的各项成像指标压力对计算光刻的运算速度和像质优化能力提出了前所未有的挑战。

首先,半导体产业界一直希望采用更快捷的仿真技术,来辅助缩短新一代光刻工艺的研发周期。然而,在45~7 nm及以下技术节点,半导体器件的集成度大幅提升,电路关键尺寸进一步微缩,导致计算光刻的数据处理量和计算复杂度呈指数级增长。例如,一个光刻层版图文件的容量可高达几百GB,如此庞大的计算量对仿真算法的实施效率提出了巨大挑战。其次,随着技术节点的不断推进,各种光学效应、系统误差和工艺条件偏差都将严重影响光刻成像质量,产生难以修正的局部坏点图形。为了确保芯片制造良率,必须根据分辨率要求进一步提升仿真精度和成像保真度。传统计算光刻技术的优化自由度受限,很难在更高的维度上提高成像误差的补偿能力。另外,新一代EUV光刻技术日益成熟并已投入量产,为推进3 nm以下的先进芯片制程带来了曙光。EUV光刻采用13.5 nm的曝光波长,且采用非双远心全反射式的光学结构和反射式掩模1,其成像特性与透射式的DUV光刻系统具有较大差异。因此,针对EUV光刻工艺的仿真优化技术也是本领域的重点研究方向之一。

为了突破现有的技术瓶颈,满足集成电路产业的新需求,计算光刻技术将会向着高效率、高精度、高维度的方向发展。未来的计算光刻技术有望与更为先进的数学方法和信号处理技术(如数据压缩、人工智能、信息与统计等方法)相融合,实现模型框架、仿真原理和算法性能的突破。下面将针对本领域的需求与挑战,具体介绍几种新型的计算光刻技术。

5.2 压缩感知快速计算光刻技术

如上文所述,PBOPC和PBSMO技术将光源和掩模图形栅格化为像素阵列,并对每一个光源像素的光强和每一个掩模像素的透过率进行优化30191201。为了提升关键图形的成像精度,有时需要对像面进行密集采样,并监测所有采样点上的成像误差。这种方法虽然能够提升优化自由度,但也将带来庞大的计算量,同时增加目标函数所包含的方程维度,严重影响了运算效率。

CS理论为降低计算光刻的数据维度和运算量提供了一种有效思路。2006年,Donoho等202提出了CS理论。如图26所示,假设一个高维信号x具有某种稀疏特性,则可以在远小于奈奎斯特采样频率的条件下,获取该信号的一组离散采样值(又称测量信号y),再通过CS重建算法以较高的精度重构出原始信号。其中,稀疏性是指该信号在某组基上展开后,展开系数中仅有少数几个值非零(或绝对值远大于零),其余系数均接近于零。信号的采集可以通过稀疏采样或随机投影等方式实现。CS技术的优势在于能够同步实现信号的信息获取和数据压缩。根据测量信号和原始信号之间的映射关系,可将CS技术分为线性CS和非线性CS两种。在CS理论中,稀疏信号的重构可以转化为约束条件下的lp范数(0p1)最小化问题39。常见的线性CS重构算法包括:正交匹配追踪法203、梯度投影稀疏重构(GPSR)算法204、交替方向乘子法205、线性布莱格曼算法206等。常见的非线性CS重构算法包括:迭代硬阈值(IHT)法207、贝叶斯估计法208、分裂布莱格曼算法(Split Bregman)209等。而影响信号重构效果的因素有很多,包括原始信号的稀疏度、投影矩阵Φ与基矩阵Ψ之间的相关性等202

图 26. 线性CS的压缩测量过程和重构过程202

Fig. 26. Compressive measurement process and reconstruction process of the linear CS[202]

下载图片 查看所有图片

基于CS理论框架,研究人员提出了多种快速的计算光刻技术47。Ma等172提出了一种基于信号先验信息的自适应压缩感知(ACS)方法,并根据CS的非相干性原则,证明了该方法的有效性。随后,将ACS框架应用于SO问题,通过对成像图案关键区域的蓝噪声稀疏采点和自适应投影,有效降低了SO模型的数据维度。后续研究进一步改进了CS-SO的优化数学模型,将SO问题转化为线性约束条件下的lp范数最小化问题,同时创新了基于衍射子空间的快速光源重构算法210,极大地降低了SO的计算复杂度。相比基于CG的快速SO算法,CS-SO方法可将运算速度提高1个数量级以上,并能有效提升成像对比度和工艺窗口。图27展示了几种CS-SO算法的优化结果和工艺窗口。

图 27. 基于衍射子空间法和ACS的快速SO技术对比(L为压缩后的方程维度)。(a)~(h)光源优化结果与成像结果;(i)(j)工艺窗口210

Fig. 27. Comparison of fast SO techniques based on diffraction subspace method and ACS method (L is the function dimensionality after compression). (a)-(h) Source optimization results and imaging results; (i) (j) process windows[210]

下载图片 查看所有图片

Wang等211基于光源的非负性条件和变量分离思想,利用GPSR算法实现了CS-SO问题的快速求解,并对比了不同CS重构算法的收敛误差和速度。Sun等173提出了成像图案关键区域的确定轮廓采样法,并采用贝叶斯CS方法求解SO问题。Lin等174将CS-SO技术扩展到了EUV光刻系统,提出了基于样本学习的CS-SO(LCS-SO)方法,通过投影矩阵和稀疏基的联合训练,有效提升了成像保真度和计算效率,图28为该方法的优化结果。随后,Lin等212分析比较了不同类型的稀疏基矩阵对CS-SO优化结果的影响。Liao等213提出了多目标的自适应光源优化方法,基于多组掩模片段构建加权的CS-SO模型,并使用近端梯度下降法计算光源的优化结果。

图 28. 基于LCS、ACS、CG和PSO算法的快速SO技术对比174

Fig. 28. Comparison of the fast SO techniques based on the LCS, ACS, CG, and PSO algorithms[174]

下载图片 查看所有图片

由光刻系统的成像模型可知,空间像与光源变量之间呈现线性关系,因此可以采用线性CS模型来求解SO问题。然而,光刻空间像与掩模变量之间呈现非线性关系,因此传统的线性CS模型无法直接用于求解OPC掩模优化问题。随着CS理论的发展,相关学者提出了非线性CS技术,可以在测量信号与原始信号之间存在非线性函数关系时,实现原始信号的压缩与重构214。2018年,研究人员提出了基于非线性CS的快速OPC技术,通过对掩模图形的稀疏间隔采样,减少成像监测点的数目,降低了OPC仿真模型的复杂度215。上述CS-OPC技术将掩模优化问题转化为非线性的CS重构问题,采用基-2n的傅里叶变换和IHT算法,对掩模进行快速修正。相比传统的梯度算法,CS-OPC技术能够显著提高运算效率,并进一步改善成像保真度、工艺窗口和掩模可制造性215。另一份研究改进了非线性CS-OPC模型,并提出了基于低秩正则项的非线性CS-OPC方法,在目标函数中引入了核范数和秩范数,并利用Split Bregman算法优化掩模图形216。该方法能够在兼顾运算效率的同时,进一步降低掩模图形复杂度,提高光刻系统的成像性能。上述两种非线性CS-OPC方法的仿真结果如图29所示。

图 29. 两种非线性CS-OPC方法的仿真结果对比(K为压缩比)。(a)~(h)不同方法的掩模优化结果和成像结果;(i)目标函数收敛曲线;(j)工艺窗口216

Fig. 29. Comparison of simulation results for two nonlinear CS-OPC methods (K is the compression ratio). (a)-(h) Mask optimization results and print image results for different methods; (i) convergence curves of the cost functions; (j) process windows[216]

下载图片 查看所有图片

之后,研究人员将CS-SO和CS-OPC两种技术相融合,提出了混合CS框架下的快速SMO技术217。该技术采用线性CS模型求解光源优化问题,而采用非线性CS模型求解掩模优化问题,在整体流程上采用光源与掩模的交替优化方式,最终获得SMO的协同优化结果。例如,SMO的掩模优化部分可以采用IHT算法217、Split Bregman算法218和牛顿迭代硬阈值算法219加以实现。研究表明CS-SMO算法在运算效率和成像性能方面均优于传统的SMO算法。图30展示了一个典型的CS-SMO优化示例。

图 30. 典型的CS-SMO优化示例。(a)~(d)光源图形;(e)~(h)掩模图形;(i)~(l)成像图形218

Fig. 30. Typical optimization examples of the CS-SMO algorithms. (a)-(d) Source patterns; (e)-(h) mask patterns; (i)-(l) print images[218]

下载图片 查看所有图片

5.3 机器学习快速计算光刻技术

传统的基于模型的计算光刻算法需要在迭代过程中不断调用光刻仿真模型220。尽管这类方法能够生成高质量的解决方案,但却存在计算复杂、效率低下的问题。从20世纪90年代至今,机器学习已在OPC、SRAF生成、光刻系统建模、刻蚀效应修正等计算光刻领域得到了广泛的研究和应用,同时也在提升模型和算法效率方面展现了良好的性能。

OPC是最为常用的一种计算光刻技术。随着集成电路CD的不断微缩和像素化掩模的引入,OPC算法的计算复杂度急剧上升。此时研究人员开始考虑采用机器学习来提高OPC的运行效率。例如,Matsunawa等221提出了一种以同心圆面积采样为特征提取方式的分层贝叶斯模型,用于生成OPC的初始解。韩国科学技术院与Samsung公司提出以极性傅里叶变换的基函数代替传统机器学习的大量参数,有效提升了掩模的优化速度222,以及神经网络的预测精度223。香港中文大学的Jiang等224使用决策树建立了OPC的加速框架。

与RBOPC和EBOPC不同,PBOPC是对掩模图形进行像素级的修正,虽然它具有更高的灵活性和自由度,但是却会大幅提升计算复杂度。针对这一问题,研究人员采用自适应核回归49102、SVM48、MLP101等机器学习模型对PBOPC算法进行了加速。图31给出了自适应核回归方法在45 nm金属层得到的掩模修正图案及其成像结果。研究表明,该方法不仅可以大幅提升计算效率,而且能够降低掩模复杂度,提高可制造性。

图 31. 基于自适应核回归的快速OPC方法在45 nm金属层的仿真结果。(a)掩模修正图案;(b)优化后的光刻成像49

Fig. 31. Simulation results of the fast OPC method based on adaptive kernel regression at 45 nm metal layer. (a) Corrected mask pattern; (b) optimized lithography image[49]

下载图片 查看所有图片

在相同的照明条件下,密集图形与稀疏图形的工艺窗口往往具有较小的重合区域1。为了实现更大的重叠工艺窗口,通常会在掩模主体图形周围添加SRAF图形。Xu等225采用决策树和逻辑回归技术,解决了稀疏接触孔阵列和密集接触孔阵列的SRAF生成问题。得州大学奥斯汀分校与Toshiba公司的Xu等226采用逻辑回归和SVM的监督学习技术,实现了掩模SRAF图形的快速生成。Synopsys公司采用机器学习方法联合优化了掩模的主体图形和SRAF图形227

对于三维掩模的衍射和成像建模,严格的电磁场仿真方法虽然精度很高,但计算效率低下,无法应用于大面积的掩模仿真。而采用机器学习技术,可以规避精确求解麦克斯韦方程组的巨大计算量,大幅提高仿真速度。例如,ASML的Liu等62针对EUV光刻系统,建立了基于特征结构滤波器的快速三维掩模模型。Ma等64针对45nm及以下节点的浸没式光刻系统,提出了一种基于非参数核回归的快速厚掩模衍射近场及空间像计算方法,相比严格电磁场仿真方法,能够将计算效率提高3-4个数量级。随后,Lin等65又将该方法推广至EUV光刻系统,与传统的DDM相比,能够获得更高的计算精度(图32)。张恒等228采用K邻近及随机森林等机器学习算法,对缺陷掩模的衍射谱快速仿真模型进行了修正,与改进型单平面近似法相比,提升了仿真精度和计算速度。

图 32. EUV光刻掩模衍射近场快速计算方法比较(从左至右分别采用严格电磁场仿真方法、非参数核回归方法、基尔霍夫薄掩模近似和DDM方法)。(a)不同方法计算得到的掩模衍射矩阵;(b)掩模衍射矩阵的误差图65

Fig. 32. Comparison of the fast EUV lithography mask diffraction near-field calculation methods (from left to right, it uses the rigorous electromagnetic field method, non-parametric kernel regression method, Kirchhoff approximation method, and DDM method). (a) Mask diffraction matrices obtained by different methods; (b) error maps of mask diffraction matrices[65]

下载图片 查看所有图片

刻蚀工艺是半导体制造中的关键步骤之一。随着集成电路CD的不断缩小,对刻蚀偏差的容限也在逐步收紧。为了补偿刻蚀偏差导致的CD变化,Kim等229采用神经网络来预测氮氧化硅衬底的刻蚀偏差。Shim等230利用神经网络预测了光刻版图边缘片段的刻蚀偏差,后续又对20 nm节点的动态随机存取存储器层进行了刻蚀误差修正231。与传统的基于规则和基于模型的刻蚀效应修正相比,该方法能够降低刻蚀偏差和片上波动。2020年,Chen等232采用反向传播神经网络修正了一维和二维图案的刻蚀偏差,其原理和结果如图33所示。

图 33. 基于神经网络的刻蚀偏差预测方法与仿真结果。(a)进行掩模图形特征提取并输入到神经网络以预测蚀刻偏差;(b)测量的刻蚀偏差和预测的刻蚀偏差对比232

Fig. 33. Prediction method and results of the etch bias based on neural network. (a) Features of mask pattern are extracted and inputted into the neural network to predict the etching bias; (b) comparison between measured etch bias and predicted etch bias[232]

下载图片 查看所有图片

5.4 深度学习快速计算光刻技术

2006年,Hinton等233提出了深度学习技术,指出多隐藏层神经网络具有优异的特征学习能力,并可通过网络参数的逐层训练和初始化来降低模型训练的复杂度。自此,深度学习技术获得了突飞猛进的发展,目前已经成为机器学习中最前沿、最热门的分支领域之一。深度学习利用简单的特征来表达复杂特征,它继承、发展并突破了传统人工神经网络的基本思想和框架,试图通过模拟人脑的机制来学习、分析和解释数据(包括图像、声音、文本等)234。与传统的机器学习相比,深度学习能够通过海量数据驱动的方式,有效提升特征学习能力,实现复杂函数关系的精确拟合,刻画数据内涵的丰富信息235

在计算光刻中,需要根据电路版图布局,预测掩模的衍射近场分布、OPC修正图案,以及SRAF的位置和形状等。但是基于有限的训练样本,传统机器学习模型有时不足以精确地模拟出复杂的正向光刻成像过程,以及计算光刻的逆向优化过程。深度学习的出现能够有效提升人工智能技术在计算光刻领域的应用效果。目前,研究人员已经将深度学习应用到光源和掩模优化、SRAF生成、光刻建模、可制造性预测等任务中236

在光源与掩模优化方面,中国科学院微电子研究所Chen等237于2019年提出了一种使用U型网络(U-Net)自编码器的快速SO技术,能够实现105倍以上的加速,同时扩大工艺窗口。上海集成电路研发中心(ICRD)与ASML提出了一种基于光刻物理过程的最优特征向量设计方法,可用于提升OPC深度神经网络的预测性能238。Chen等239提出了深层快速掩模优化框架,解决了全芯片掩模的优化问题。Zhang等50提出了基于VAE的掩模图案优化设计方法。香港中文大学Yang等105提出了面向OPC的GAN模型(GAN-OPC)。如图34所示,生成器由若干个卷积层组成,可以使用ILT方法引导生成器的预训练。

图 34. 基于GAN-OPC模型的快速掩模优化方法,生成器输出OPC版图105

Fig. 34. Fast mask optimization method based on the GAN-OPC model, where OPC layout is outputted by generator[105]

下载图片 查看所有图片

在SARF生成方面,长鑫存储与ASML/Brion采用自动样本选择方法对Newron SRAF深度学习软件进行了训练,在确保算法效率的同时,提高了光刻成像的NILS,降低了工艺变化带宽240。Alawieh等241提出了一种基于条件生成对抗网络的SRAF模型,可以通过一次预测得到掩模上的SRAF生成概率图,后续可利用解码算法添加SRAF图形。

在光刻建模方面,Kareem等242利用对抗式自编码器合成了目标布局,并用于校准光刻模型。Ye等243提出了光刻模型的GAN网络,可直接根据掩模图案预测光刻胶成像。随后,Ye等244基于GAN网络提出了一种考虑掩模三维效应的快速成像模型,能够一次计算出不同光刻胶高度位置处的空间像。XTAL公司采用深度神经网络和GPU平台,为10 nm以下节点提供了快速的ILT解决方案245。Lin等67提出了一种基于FCN的厚掩模衍射近场快速计算方法。如图35所示,FCN网络由多个卷积层(Conv)以及上采样层、激活层(ReLu)和池化层组成。与严格电磁场仿真和传统回归方法相比,该模型能够将计算速度分别提高68倍和7倍以上。

图 35. 基于FCN的快速掩模衍射近场计算方法示意图67

Fig. 35. Sketch of fast mask diffraction near-field calculation method based on FCN[67]

下载图片 查看所有图片

坏点检测是典型的可制造性设计(DFM)问题之一,即在制作掩模之前预测版图中是否包含不符合工艺窗口要求的局部图形,从而规避制造风险,缩短产品的研发周期。2017年,香港中文大学Yang等104采用CNN模型解决了坏点检测问题。图36给出了CNN网络检测版图坏点的过程。为了提高坏点检测性能,Borisov等246研究了不同数据增强方法对CNN训练速度和坏点检测正确率的影响。密歇根州立大学Sim等247采用循环生成对抗网络探测了各种复杂光刻版图的坏点,降低了误检率。

图 36. 基于CNN模型的版图坏点检测104

Fig. 36. Layout hotspot detection based on the CNN model[104]

下载图片 查看所有图片

然而,现有的标准化深度学习技术仍然存在其固有的缺憾,包括网络设计难、物理可解释性差、性能难以预测等问题。一个很重要的思路是:是否可以采用某种先验物理模型,辅助深度神经网络的设计与训练,从而解决上述难题。为此,研究人员提出了模型驱动深度学习(MDL)方法248。MDL的基本思想是利用已知的物理模型作为先验信息,首先将模型的某些参数放宽为待定系数,形成模型族;再根据模型族构造目标函数和求解问题的迭代算法,形成算法族;然后对迭代算法进行展开和截断,完成网络结构的初始设计和参数初始化,最终实现“可设计”、“可解释”、“可预测”的深度学习模型。

自2018年起,研究人员将MDL的思想引入到光刻仿真领域,提出了一系列基于MDL的快速计算光刻技术51106249。Ma等106首先针对相干光刻成像系统,提出了一种基于模型驱动卷积神经网络(MCNN)的快速ILT技术。该方法将ILT的梯度迭代算法进行展开和截断,将每一步迭代运算视为网络的一层,构建MCNN的网络结构,并完成参数初始化设定。同时,该方法以光刻成像模型作为MCNN的自解码器,构建网络的非监督学习模型,无需进行训练样本标记,即可实现MCNN网络参数的联合优化,显著降低了MCNN的训练成本。MCNN技术可以对ILT掩模优化结果进行快速的初始预测,再采用梯度算法对掩模做少数几次后续迭代,即可得到最终的掩模修正结果。相比传统的梯度算法,MCNN方法可以将运算效率提高1个数量级,同时还能进一步降低光刻成像误差。图37给出了MCNN模型+最速下降法得到的掩模优化结果及其对应的光刻胶成像。

图 37. MCNN设计的掩模及其光刻胶成像106

Fig. 37. Designed masks and their print images obtained by MCNN[106]

下载图片 查看所有图片

2020年,Ma等51针对相干光刻成像系统,改进并扩展了MCNN模型,提出了ILT的双通道模型驱动深度学习方法(DMDL),不仅能够有效提高算法效率,而且可以进一步提升光刻成像质量。与MCNN不同,DMDL引入了双通道的网络结构,可以同时预测掩模主体图形和SRAF的修正结果,能够更加有效地补偿成像误差。另外,DMDL的双数据流结构可以为反向传播算法提供并行的残差传递通道,有效缓解了梯度消失问题,为扩展DMDL的网络深度、提高网络预测能力提供了可能。研究表明,基于非监督学习的DMDL方法在计算效率和像质优化能力方面,均优于传统的ILT方法。随后,DMDL模型又被推广到了部分相干光刻成像系统249。未来基于模型的深度学习技术将有可能在计算光刻领域发挥更大的作用。

5.5 高维度计算光刻技术

随着光刻工艺水平进入45~7 nm及以下节点,光刻成像的误差容限不断收紧,对成像畸变进行精细补偿也越来越困难。解决上述问题的一个重要途径是:增加计算光刻的优化自由度,将更多的系统参数纳入到协同优化体系中。事实上,从OPC(单独优化掩模)到SMO(光源-掩模联合优化)的技术跨越,恰恰印证了这一发展趋势。近年来,研究人员提出了多种高维度的计算光刻技术,通过组合优化光源、掩模、偏振态、光瞳波前相位、数值孔径等多种参数,提升优化设计的自由度。计算光刻逆向优化属于病态问题,优化模型的非线性特性和多参数耦合使得优化算法容易陷入局部次优解,无法获得最佳的光刻成像性能。因此,高维度计算光刻的难点在于优化变量的选取和优化算法的设计。

前人研究表明,对于45 nm及以下节点的浸没式光刻系统,照明偏振态对光刻成像质量的影响较为显著250。为此,浸没式光刻系统一般采用偏振照明模式5,通过调制入射光的电矢量方向角可以进一步提升光刻系统的成像质量。2010年,Dam等251在考虑像差的情况下,讨论了XY偏振光对SMO性能的影响。Milster等252提出采用偏振态计算机生成全息图的方法,产生多种不同的光源强度和偏振态分布。2011年,ASML公司的Hansen37在SMO技术中引入了偏振态优化自由度,提出了一种光源-掩模-偏振态联合优化(SMPO)方法,可以有效扩展工艺窗口。为了进一步提高运算效率和收敛性能,Ma等253提出了一种基于平均电场强度模型的快速光源偏振态优化算法,将运算效率提高了1倍左右,并扩展了工艺窗口。随后,矢量成像模型和梯度解析优化方法被应用于SMPO技术,发展出同步型SMPO(SISMPO)和交替型SMPO(SESMPO)两种算法254。如图38所示,这些方法可以在考虑制造约束的条件下,实现像素化光源、掩模和任意照明偏振态的联合优化,突破了SMO技术的优化自由度,能够进一步提高光刻成像质量。

图 38. SMO算法和SMPO算法的仿真结果对比。(a)~(d)优化前的仿真结果;(e)~(p)SMO、SISMPO和SESMPO算法的仿真结果254

Fig. 38. Comparison of the simulation results between SMO algorithm and SMPO algorithm. (a)-(d) Simulation result before optimization; (e)-(p) simulation results of SMO, SISMPO, and SESMPO algorithms[254]

下载图片 查看所有图片

除此以外,研究人员还提出了光源-掩模-光瞳波前联合优化(SMPWO)、光源-掩模-NA联合优化,以及多参数联合优化等计算光刻方法255-257。自20世纪90年代以来,光瞳优化的思想被引入到光刻系统当中258。但早期的光刻机还不具备自主调制光瞳波前相位的功能。直至2011年,ZEISS与ASML联合研发出了Flexwave技术,通过电控和加热,改变介质上不同像元的折射率,实现了像素化的光瞳波前调控257。将光瞳波前优化与SMO体系相结合,便产生了SMPWO技术。2012年,Sears等82259提出了通过添加球差来控制光瞳波前,补偿厚掩模类像差效应的方法。Fühner等260提出了基于GA算法的SMPWO技术,能够最大化不同Line/Space图形下的重叠工艺窗口。Li等261将光瞳波前像差纳入SMO算法当中,通过光瞳相位调控补偿了厚掩模效应引起的成像误差,并在光瞳畸变的情况下获得了最佳的光源-掩模组合。Han等262改进了SMO框架并提出了基于梯度的SMPWO算法,通过优化光瞳波前的Zernike系数,补偿厚掩模导致的类像差效应,进一步扩大了工艺窗口。王磊等263采用PSO算法实现了光源、掩模和投影物镜光瞳的联合优化设计。

2005年,Takeuchi等264提出了NA、光源相干因子和掩模图形的联合优化方法,在考虑镜头像差、曝光剂量波动和离焦等误差因素的前提下,确定了最佳的参数组合。Guo等255提出了一种基于梯度的光源-掩模-NA联合优化算法,以及掩模、光刻工艺及系统参数的联合优化方法256,用于扩大工艺窗口。

未来的计算光刻技术在多参数联合优化方面具有较大的发展空间。从最早期的RBOPC和EBOPC技术,到PBOPC、PBSMO和SMPO等技术,计算光刻的优化自由度不断增加,其成像误差的补偿能力也在逐步提升,推动了光刻技术向着更先进的节点发展。基于新的优化模型和算法,高维度计算光刻预期能够对大量的系统参数和工艺参数进行联合优化,在保证光刻成像质量的同时,提升算法效率和稳定性。

高维度计算光刻的另一个发展趋势是设计-工艺协同优化(DTCO)。在传统的光刻技术研发中,电路设计与制造工艺研发相对独立。在14 nm以下的技术节点,获得高分辨和高精度的光刻图形愈发困难。一种可行的思路是将电路设计、工艺研发、计算光刻进行深度融合,在一个新节点光刻技术的研发初期,便协同考虑设计端和制造端的需求与方案对接,让设计工程师和工艺工程师保持紧密的双向沟通265。具体来说,工艺工程师进行光刻方案的探索及优化,向设计工程师提供坏点图形库和设计规则建议。根据这些信息,设计工程师可以在设计阶段避免坏点图形的出现,降低集成电路的制造难度。同时,设计工程师根据电路性能需求进行版图设计,并与工艺工程师协作参与光刻解决方案的制定,以确保设计版图能够满足可制造性的要求14266图39267所示为DTCO的流程示意图。随着技术节点的推进,多种DTCO方案被相继提出268-269。未来的DTCO技术有可能将计算光刻包含在宏观的设计-工艺协同优化框架之内,突破固有的研发布局边界,这对于提升最终的芯片产品良率具有十分重要的意义。

图 39. DTCO的工作流程图267

Fig. 39. Workflow of DTCO[267]

下载图片 查看所有图片

5.6 极紫外计算光刻技术

为了支撑更为先进的芯片制程,193 nm浸没式光刻需要采用越来越复杂的掩模拆分规则和工艺流程,导致生产成本剧增。同时,随着技术节点的进一步推进,不难预测相关工艺的研发成本和难度会越来越高。因此,业界普遍开始采用更为先进的EUV光刻技术来实现7~5 nm及以下节点的芯片制程,而ASML公司是当前唯一一家能够生产EUV光刻整机的厂商。EUV光刻采用13.5 nm的曝光波长,相比DUV光刻具有更高的成像分辨率,采用简单工艺便可满足7 nm及以下技术节点的需求。目前最先进的EUV光刻机的NA=0.33,其单次曝光的分辨率极限已达13 nm175。面向3 nm及更为先进的技术节点,研究人员正在研发高NA(NA=0.55)的EUV光刻机,届时其单次曝光有望达到8 nm甚至更高的成像分辨率270图40271展示了芯片中某些重要器件的周期尺寸的预期演变情况。

图 40. 芯片中某些重要器件的周期尺寸的预期演变情况271

Fig. 40. Expected development situation of the pitch sizes of some key devices on the chip[271]

下载图片 查看所有图片

由于绝大多数已知物质对EUV光波具有极强的吸收作用,因此EUV光刻系统必须采用非双远心全反射式的光学结构和反射式掩模272,其成像特性与透射式的DUV光刻系统具有较大差别。如图41所示,目前的EUV光刻机大多采用激光等离子体光源,将10.6 μm波长的CO2激光束聚焦于锡靶上,产生高度电离等离子体并辐射EUV光子273-274。之后,EUV光子被收集并形成定向光束,以大约6°的入射角照射到EUV反射式掩模上,且入射光的次级方向角随着环状曝光狭缝内的不同视场而变化。

图 41. EUV光刻系统的示意图274

Fig. 41. Sketch of EUV lithography system[274]

下载图片 查看所有图片

EUV光刻掩模主要由吸收层、多层膜和基底三部分组成。多层膜是由40对Mo/Si交替堆叠而成,对13.5 nm的EUV光具有很高的反射率。吸收层通常采用TaN材料制成,上方刻蚀了掩模的图形结构,对入射光产生特定方位的遮挡和吸收275。没有被吸收层覆盖的多层膜区域将反射一部分EUV光波,并被反射式投影物镜收集,最后在晶圆表面进行相干成像。EUV光刻系统采用光学投影成像方式,因此其分辨率同样会受到OPE的影响。除此以外,影响EUV光刻成像质量的因素还有很多,包括厚掩模的阴影效应和三维结构效应、掩模缺陷、杂散光、非双远心效应,以及光刻胶散粒噪声等。

EUV光刻机采用离轴斜入射照明,且掩模吸收层的厚度通常为50~70 nm,远大于入射光波长,导致掩模成像图形的位置和CD随入射光方向变化,即存在与入射光方向有关的掩模阴影效应。这会造成几纳米的成像CD误差,因此掩模阴影效应对成像性能的影响已不容忽视276。此外,EUV光刻掩模的三维结构还会导致其他成像偏差。例如,吸收层的侧壁将对反射光的衍射场产生影响,导致成像对比度降低和远心误差277。在严格的成像仿真中,还需考虑掩模吸收层边缘的散射效应62

在多层膜制备时,需要保证每个Mo层和Si层是平整光滑的。由于Mo/Si堆叠的层数较多,极易在基底表面和多层膜内部产生纳米级的缺陷,引起多层膜的结构和形貌变化,降低成像质量278。因此掩模缺陷控制已成为EUV光刻工艺中的一个关键环节。另外,在实际的EUV光刻系统内部,投影物镜和其他反射光学元件的表面并非完全理想的光滑表面,因此会引起方向随机的杂散光279。杂散光的强度与波长的平方成反比,与光学表面粗糙度的平方成正比280。因此,EUV光刻系统的杂散光强度比DUV光刻系统高200倍左右281,而杂散光会降低光刻空间像的对比度,并增加光刻胶成像的CD误差。

为了避免反射式掩模的遮挡,EUV光刻系统采用了6°斜入射照明和非双远心的投影物镜设计,因此会对成像质量产生一定影响。当掩模面离焦时会导致成像的放大倍率发生变化,而当像面离焦时会导致图形中心位置偏移282。另外,光子的量子效应使得曝光光强存在随机涨落,入射至光刻胶的光子数量起伏不定,这种现象称为散粒噪声。光子个数越少,散粒噪声越明显。EUV光的波长极短,其单个光子携带的能量比DUV光子能量高14倍左右,所以在相同的曝光剂量下,EUV光刻的散粒噪声更加显著,这将对光刻胶成像的线宽均匀性产生不可忽视的影响283。此外,随着线宽不断缩小,一些局部图形(如通孔)需要的曝光能量很小,所以参与曝光的EUV光子个数会很少,此时散粒噪声的影响会非常明显284

在EUV光刻成像模型和计算光刻算法中,必须考虑以上这些对成像产生不利影响的因素。鉴于EUV光刻成像与工艺过程的特殊性,无法直接沿用现有的DUV计算光刻技术。因此,必须针对新一代EUV光刻系统,研发新型的计算光刻技术,支撑EUV光刻向更先进的技术节点发展。这也是计算光刻的重要发展方向之一。

在掩模修正、建模和缺陷补偿方面,2009年Nikolsky等285-286针对EUV-OPC优化过程中的掩模阴影效应进行了校正和补偿,Kim等287研究了基于掩模修正的杂散光补偿方法。Arisawa等288同时考虑了EUV光刻系统中的远距和近距杂散光效应,提出了一种基于模型和边缘修正的OPC方法。Lorusso等289同时考虑了EUV光刻掩模的阴影效应和杂散光效应,提出了针对全掩模的OPC方法。2015年,Ma等290提出了一种基于模块的EUV-OPC方法,能够同时优化掩模的主体图形和SRAF图形。2017年,Synopsys的Hooker等291将EUV-ILT方法与传统的EUV-OPC/RET方法进行了比较研究。同年,Mentor公司的Clifford等292提出了针对EUV变形光刻系统的OPC方法。Lam等293采用动态模型生成方法,实现了基于全视场的OPC修正。2018年,Zhang等294提出了基于协方差矩阵自适应演化策略的掩模缺陷补偿方法,同时采用机器学习方法,对含缺陷掩模的衍射谱快速仿真模型进行了参数校正228。2019年,Wu等295提出了一种结合GA算法和MRC的EUV光刻掩模优化方法。之后,Wu等95又提出了基于GA算法的EUV光刻掩模空白缺陷补偿方法,采用先粗修后精修的分步式方案提高了掩模修正速度。该方法针对不同位置缺陷的修正结果如图42所示。2020年,ASML公司的Kim等296针对5 nm技术节点的电路版图,提出了基于神经网络的EUV-OPC技术。Lin等297提出了一种基于非参数核回归的EUV厚掩模衍射近场快速仿真方法。此外,一些针对EUV光刻掩模的SRAF添加方法也被相继提出,有效提升了成像性能298-299

图 42. 针对不同位置缺陷的掩模修正结果和算法收敛曲线(掩模缺陷分别位于图形中心、边缘和拐角位置)95

Fig. 42. Mask correction results and algorithm convergence curves for defects at different positions (mask defects locate at the center, edge, and corner of the pattern, respectively) [95]

下载图片 查看所有图片

在SO和SMO方面,2015年Kuo等300采用PSO方法对EUV光刻系统的像素化光源进行了优化。2018年,Ma等274发展了基于参数化和像素化两种光源模型的EUV-SMO算法,突破了EUV-OPC的优化自由度,提高了成像误差的补偿精度。该方法的优化结果如图43所示,其中采用目标重定位方法可以进一步补偿掩模阴影效应引起的成像误差。随后,Lin等174提出了一种基于CS的EUV-SO框架,采用训练学习方式联合优化光源稀疏基和投影矩阵,提升了计算效率和成像保真度。2020年,Ma等162采用严格成像仿真和SMO方法分析了EUV光刻中禁止周期对成像的影响。同年,中国科学院微电子研究所与Synopsys公司合作研究了EUV光刻系统杂散光对SMO的影响301。2021年,Zhang等96提出了基于厚掩模模型和社会学习PSO的EUV-SMO算法,同时还提出了采用双边演化和部分采样编码的EUV-SMO方法302。Zou等303提出了一种基于曝光裕量感知的EUV-SMO方法。相信在不久的未来,计算光刻将在先进EUV光刻工艺中发挥更大的作用。

图 43. 基于像素化光源模型的EUV-SMO仿真结果。(a)~(c)SMO算法得到的光源、掩模和成像结果;(d)~(f)“SMO+Retargeting”方法得到的光源、掩模和成像结果275

Fig. 43. Simulation results of the EUV-SMO methods based on pixelated source model. (a)-(c) Source, mask, and print image obtained by the SMO algorithm; (d)-(f) source, mask, and print image obtained by the “SMO+Retargeting” method[275]

下载图片 查看所有图片

6 结束语

本文系统地介绍了计算光刻的基本原理、模型、方法、应用及最近进展,并对前人的研究工作进行了综述。计算光刻理论与技术发展至今,其内涵大体包括“正向仿真”和“逆向反演”两部分。OPC、ILT、SMO等技术也已经在集成电路制造中得到了非常成功的应用。目前,这项技术仍然处在蓬勃发展的时期。光刻硬件平台的不断革新(如自由形态照明、曲边掩模、光瞳相位调制器等)为计算光刻提供了更高的设计自由度和更多的实现途径。随着多参数耦合优化方法的不断创新,计算光刻对光波的优化调控能力已经贯穿于整个成像链路,为高精度、高鲁棒性的成像误差校正提供了有力保障。

在实际应用中,计算光刻可以通过“算法+数据”驱动的方式实现工艺寻优的自动化过程。在某种程度上,目前的先进半导体工艺研发正是围绕计算光刻开展的。通过计算光刻的迭代循环,确定最终的工艺方案,降低传统工艺研发中的试错风险和成本,缩短工艺研发周期。更为重要的是,计算光刻有望在IC设计、光刻设备、工艺、材料等关键环节之间搭建一座协作研发的桥梁,扩展协同设计与优化的媒介渠道。

将计算光刻原理与先进数据处理技术相结合可能是未来的一个重要发展方向。但新技术在实用化进程中仍然面临诸多挑战。例如,压缩感知方法需要根据光刻成像的非线性模型进行改进,提高算法的鲁棒性,并与工业标准化的SMO流程相融合。机器学习和深度学习对训练样本严重依赖,而在实际产线上生成和采集大量数据的成本非常昂贵。同时,现有的深度学习大多采用黑箱模式进行预测,缺乏物理可解释性,一旦结果出现问题很难进行原理分析和模型修正。基于同样的原因,深度学习网络目前还不能精确地求解光刻优化问题,只能得到计算光刻的近似结果。另外,对于EUV光刻的像质优化,需要同时考虑杂散光的近场和远场效应、掩模阴影效应和非双远心效应等,这将极大地增加计算光刻的算法复杂度和资源消耗。

计算光刻技术的研发需要同时考虑成像精度、实施效率、优化自由度、可制造性等各方面指标,而这些性能指标往往是相互制约的。因此,将正向仿真模型与逆向优化算法进行融合设计也许是未来的一个研究方向。同时,采用更为先进的计算机软硬件技术,搭建先进的高速计算平台,也是缓解运算压力的一种重要途径。随着半导体技术的整体提升,产业界和学术界研究者将共同努力解决上述科学和技术难题,为先进半导体制程的快速发展注入新的活力。

参考文献

[1] 韦亚一. 超大规模集成电路先进光刻理论与应用[M]. 北京: 科学出版社, 2016.

    WeiY Y. Advanced lithography theory and application of VLSI[M]. Beijing: Science Press, 2016.

[2] MaX, ArceG R. Computational lithography[M]. New York: Wiley, 2010.

[3] 朱进宇, 闫峥, 苑乔, 等. 集成电路技术领域最新进展及新技术展望[J]. 微电子学, 2020, 50(2): 219-226.

    Zhu J Y, Yan Z, Yuan Q, et al. The latest development and trend of IC technology[J]. Microelectronics, 2020, 50(2): 219-226.

[4] 韦亚一, 栗雅娟, 董立松,等. 计算光刻与版图优化[M]. 北京: 电子工业出版社, 2021.

    WeiY Y, LiY J, DongL S, et al. Computational lithography & layout optimization[M]. Beijing: Publishing House of Electronics industry, 2021.

[5] 王向朝, 戴凤钊. 集成电路与光刻机[M]. 北京: 科学出版社, 2020.

    WangX Z, DaiF Z. Integrated circuit and lithographic tool[M]. Beijing: Science Press, 2020.

[6] 巩岩, 张巍. 193 nm光刻曝光系统的现状及发展[J]. 中国光学与应用光学, 2008, 1(S1): 25-35.

    Gong Y, Zhang W. Present status and progress in 193 nm exposure system in lithography[J]. Chinese Journal of Optics and Applied Optics, 2008, 1(S1): 25-35.

[7] 郭立萍, 黄惠杰, 王向朝. 光学光刻中的离轴照明技术[J]. 激光杂志, 2005, 26(1): 23-25.

    Guo L P, Huang H J, Wang X Z. Off-axis illumination for optical lithography[J]. Laser Journal, 2005, 26(1): 23-25.

[8] Wei L D, Li Y Q. Hybrid approach for the design of mirror array to produce freeform illumination sources in immersion lithography[J]. Optik, 2014, 125(20): 6166-6171.

[9] 简祺霞, 王军, 袁凯, 等. 光刻工艺中关键流程参数分析[J]. 微处理机, 2011, 32(6): 14-17.

    Jian Q X, Wang J, Yuan K, et al. Anasysis of the key parameters in lithography process[J]. Microprocessors, 2011, 32(6): 14-17.

[10] Moore G E. Cramming more components onto integrated circuits[J]. Electronics, 1965, 38(8): 1-4.

[11] Shibuya M. Resolution enhancement techniques for optical lithography and optical imaging theory[J]. Optical Review, 1997, 4(1): 151-160.

[12] WongA K. Resolution enhancement techniques in optical lithography[M]. Bellingham: SPIE, 2001. 10.1117/3.401208

[13] MaX. Optimization of resolution enhancement techniques in optical lithography[D]. Newark: University of Delaware, 2009

[14] Otto O W, Garofalo J G, Low K K, et al. Automated optical proximity correction: a rules-based approach[J]. Proceedings of SPIE, 1994, 2197: 278-293.

[15] 韦亚一, 粟雅娟, 刘艳松. 先导光刻中的光学邻近效应修正[J]. 微纳电子技术, 2014, 51(3): 186-193.

    Wei Y Y, Su Y J, Liu Y S. Optical proximity correction in the advanced photolithography[J]. Micronanoelectronic Technology, 2014, 51(3): 186-193.

[16] Levenson M D, Viswanathan N S, Simpson R A. Improving resolution in photolithography with a phase-shifting mask[J]. IEEE Transactions on Electron Devices, 1982, 29(12): 1828-1836.

[17] Ahn W S, Seo H S, Bang J M, et al. Development of high-transmittance phase-shifting mask for ArF immersion lithography[J]. Proceedings of SPIE, 2015, 9658: 965808.

[18] KahngA B, ParkC H, XuX, et al. Layout decomposition for double patterning lithography[C]∥2008 IEEE/ACM International Conference on Computer-Aided Design, November 10-13, 2008, San Jose, CA, USA. New York: IEEE Press, 2008: 465-472. 10.1109/iccad.2008.4681616

[19] Ohmura Y, Ogata T, Hirayama T, et al. An aberration control of projection optics for multi-patterning lithography[J]. Proceedings of SPIE, 2011, 7973: 79730W.

[20] Syrenova S, Wadell C, Langhammer C. Shrinking-hole colloidal lithography: self-aligned nanofabrication of complex plasmonic nanoantennas[J]. Nano Letters, 2014, 14(5): 2655-2663.

[21] Dietrich M. Resolution and proximity effect in optical lithography[J]. Proceedings of SPIE, 1988, 0922: 174-187.

[22] Granik Y. Source optimization for image fidelity and throughput[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2004, 3(4): 509-522.

[23] Ogawa T, Uematsu M, Ishimaru T, et al. Effective light source optimization with the modified beam for depth-of-focus enhancements[J]. Proceedings of SPIE, 1994, 1754: 175425.

[24] Pang L Y. Inverse lithography technology: 30 years from concept to practical, full-chip reality[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 2021, 20(3): 030901.

[25] Rosenbluth A E, Bukofsky S J, Fonseca C A, et al. Optimum mask and source patterns to print a given shape[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2002, 1(1): 13-30.

[26] Ma X, Arce G R. Pixel-based simultaneous source and mask optimization for resolution enhancement in optical lithography[J]. Optics Express, 2009, 17(7): 5783-5793.

[27] Erdmann A, Fühner T, Evanschitzky P, et al. Optical and EUV projection lithography: a computational view[J]. Microelectronic Engineering, 2015, 132: 21-34.

[28] Graeupner P, Garreis R B, Goehnermeier A, et al. Impact of wavefront errors on low k1 processes at extremely high NA[J]. Proceedings of SPIE, 2003, 5040: 119-130.

[29] Schellenberg F. A little light magic[J]. IEEE Spectrum, 2003, 40(9): 34, 34-39.

[30] Poonawala A, Milanfar P. Mask design for optical microlithography: an inverse imaging problem[J]. IEEE Transactions on Image Processing, 2007, 16(3): 774-788.

[31] Kojima Y, Konishi T, Sasaki J, et al. Study of alternating phase shift mask structures for ArF lithography[J]. Proceedings of SPIE, 2004, 5446: 570-577.

[32] Kuo H J, Lin C H, Tzu S D, et al. Combination of OPC and AttPSM for patterning sub-0.18-μm logic devices[J]. Proceedings of SPIE, 1999, 3679: 435-445.

[33] Noguchi M, Muraki M, Iwasaki Y, et al. Subhalf-micron lithography system with phase-shifting effect[J]. Proceedings of SPIE, 1992, 1674: 90-102.

[34] Carriere J, Stack J, Childers J, et al. Advances in DOE modeling and optical performance for SMO applications[J]. Proceedings of SPIE, 2010, 7640: 764025.

[35] Mulder M, Engelen A, Noordman O, et al. Performance of FlexRay: a fully programmable illumination system for generation of freeform sources on high NA immersion systems[J]. Proceedings of SPIE, 2010, 7640: 76401P.

[36] Ma X, Li Y Q, Dong L S. Mask optimization approaches in optical lithography based on a vector imaging model[J]. Journal of the Optical Society of America A, 2012, 29(7): 1300-1312.

[37] Hansen S G. Source mask polarization optimization[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2011, 10(3): 033003.

[38] 许爽. 基于成像光强的光刻机像差原位检测理论与方法研究[D]. 武汉: 华中科技大学, 2016.

    XuS. Theory and method for in situ lens aberration measurement in optical lithographic tools based on image intensity[D]. Wuhan: Huazhong University of Science and Technology, 2016.

[39] RockafellarR T. Convex analysis[M]. Woodstock: Princeton University Press, 1970. 10.1515/9781400873173

[40] 沈珊瑚. 纳米级电路光刻建模及可制造性设计研究[D]. 杭州: 浙江大学, 2009.

    ShenS H. Research on optical lithography simulation and DFM for nano-scale circuits[D]. Hangzhou: Zhejiang University, 2009.

[41] PengY, ZhangJ Y, WangY, et al. High performance source optimization using a gradient-based method in optical lithography[C]∥2010 11th International Symposium on Quality Electronic Design (ISQED), March 22-24, 2010, San Jose, CA, USA. New York: IEEE Press, 2010: 108-113. 10.1109/isqed.2010.5450390

[42] Peng Y, Zhang J Y, Wang Y, et al. Gradient-based source and mask optimization in optical lithography[J]. IEEE Transactions on Image Processing, 2011, 20(10): 2856-2864.

[43] Ma X, Arce G R. Pixel-based OPC optimization based on conjugate gradients[J]. Optics Express, 2011, 19(3): 2165-2180.

[44] Li J, Liu S Y, Lam E Y. Efficient source and mask optimization with augmented Lagrangian methods in optical lithography[J]. Optics Express, 2013, 21(7): 8076-8090.

[45] Jia N N, Lam E Y. Machine learning for inverse lithography: using stochastic gradient descent for robust photomask synthesis[J]. Journal of Optics, 2010, 12(4): 045601.

[46] Fühner T, Erdmann A. Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm[J]. Proceedings of SPIE, 2005, 5754: 415-426.

[47] 王志强. 基于压缩感知的快速光刻系统光源-掩模优化方法研究[D]. 北京: 北京理工大学, 2019.

    WangZ Q. Research on fast source mask optimization based on compressive sensing for lithography system[D]. Beijing: Beijing Institute of Technology, 2019.

[48] Luo K S, Shi Z, Yan X L, et al. SVM based layout retargeting for fast and regularized inverse lithography[J]. Journal of Zhejiang University SCIENCE C, 2014, 15(5): 390-400.

[49] Ma X, Jiang S L, Wang J, et al. A fast and manufacture-friendly optical proximity correction based on machine learning[J]. Microelectronic Engineering, 2017, 168: 15-26.

[50] Zhang Y J, Ye W J. Deep learning-based inverse method for layout design[J]. Structural and Multidisciplinary Optimization, 2019, 60(2): 527-536.

[51] Ma X, Zheng X Q, Arce G R. Fast inverse lithography based on dual-channel model-driven deep learning[J]. Optics Express, 2020, 28(14): 20404-20421.

[52] Ma X, Han C Y, Li Y Q, et al. Pixelated source and mask optimization for immersion lithography[J]. Journal of the Optical Society of America A, 2012, 30(1): 112-123.

[53] Erdmann A. Mask modeling in the low k1 and ultrahigh NA regime: phase and polarization effects[J]. Proceedings of SPIE, 2005, 5835: 69-81.

[54] Erdmann A, Friedrich C M. Rigorous diffraction analysis for future mask technology[J]. Proceedings of SPIE, 2000, 4000: 684-694.

[55] Moharam M G, Gaylord T K. Rigorous coupled-wave analysis of planar-grating diffraction[J]. Journal of the Optical Society of America, 1981, 71(7): 811-818.

[56] Yang L, Li Y Q, Liu K. Simulation of the polarization effects induced by the bilayer absorber alternating phase-shift mask in conical diffraction[J]. Optical Engineering, 2013, 52(9): 091702.

[57] Wojcik G L, Mould J,, Ferguson R A, et al. Some image modeling issues for I-line, 5X phase-shifting masks[J]. Proceedings of SPIE, 1994, 2197: 455-465.

[58] Evanschitzky P, Erdmann A. Three dimensional EUV simulations: a new mask near field and imaging simulation system[J]. Proceedings of SPIE, 2005, 5992: 59925B.

[59] Tirapu-Azpiroz J, Burchard P, Yablonovitch E. Boundary layer model to account for thick mask effects in photolithography[J]. Proceedings of SPIE, 2003, 5040: 1611-1619.

[60] Adam K, Neureuther A R. Domain decomposition methods for the rapid electromagnetic simulation of photomask scattering[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2002, 1(3): 253-269.

[61] Peng L. Accurate prediction of 3D mask topography induced best focus variation in full-chip photolithography applications[J]. Proceedings of SPIE, 2011, 8166: 816640.

[62] Liu P, Xie X B, Liu W, et al. Fast 3D thick mask model for full-chip EUVL simulations[J]. Proceedings of SPIE, 2013, 8679: 86790W.

[63] 张恒, 李思坤, 王向朝. 基于改进型结构分解的极紫外光刻掩模衍射谱快速仿真方法[J]. 光学学报, 2018, 38(1): 0105001.

    Zhang H, Li S K, Wang X Z. A rapid simulation method for diffraction spectra of EUV lithography mask based on improved structural decomposition[J]. Acta Optica Sinica, 2018, 38(1): 0105001.

[64] Ma X, Zhao X J, Wang Z Q, et al. Fast lithography aerial image calculation method based on machine learning[J]. Applied Optics, 2017, 56(23): 6485-6495.

[65] Lin J X, Dong L S, Fan T A, et al. Fast extreme ultraviolet lithography mask near-field calculation method based on machine learning[J]. Applied Optics, 2020, 59(9): 2829-2838.

[66] Tanabe H, Sato S, Takahashi A. Fast 3D lithography simulation by convolutional neural network[J]. Proceedings of SPIE, 2021, 11614: 116140M.

[67] LinJ X, DongL S, FanT A, et al. Fast mask near-field calculation using fully convolution network[C]∥2020 International Workshop on Advanced Patterning Solutions (IWAPS), November 5-6, 2020, Chengdu, China. New York: IEEE Press, 2020: 9286805. 10.1109/iwaps51164.2020.9286805

[68] Schmid G M, Burns S D, Stewart M D, et al. Mesoscale simulation of positive tone chemically amplified photoresists[J]. Proceedings of SPIE, 2002, 4690: 381-390.

[69] Granik Y, Medvedev D, Cobb N. Toward standard process models for OPC[J]. Proceedings of SPIE, 2007, 6520: 652043.

[70] Randall J, Ronse K G, Marschner T, et al. Variable-threshold resist models for lithography simulation[J]. Proceedings of SPIE, 1999, 3679: 176-182.

[71] Huang W C, Lin C H, Kuo C C, et al. Two threshold resist models for optical proximity correction[J]. Proceedings of SPIE, 2004, 5377: 1536-1543.

[72] Granik Y, Cobb N B, Do T. Universal process modeling with VTRE for OPC[J]. Proceedings of SPIE, 2002, 4691: 377-394.

[73] PoonawalaA. Mask design for single and double exposure optical microlithography: an inverse imaging approach[D]. Santa Cruz: University of California, Santa Cruz, 2007. 10.1109/tip.2006.891332

[74] 李晓婷. 集成电路制造中刻蚀工艺的仿真模型研究[D]. 北京: 北方工业大学, 2020.

    LiX T. Research on simulation model of etching process in integrated circuit manufacturing[D]. Beijing: North China University of Technology, 2020.

[75] 张汝京. 纳米集成电路制造工艺[M]. 北京: 清华大学出版社, 2014.

    ZhangR J. Nanoscale integrated circuits-the manufacturing process[M]. Beijing: Tsinghua University Press, 2014.

[76] Guo W, Sawin H H. Review of profile and roughening simulation in microelectronics plasma etching[J]. Journal of Physics D: Applied Physics, 2009, 42(19): 194014.

[77] Zhu Z J, Liu C. Micromachining process simulation using a continuous cellular automata method[J]. Journal of Microelectromechanical Systems, 2000, 9(2): 252-261.

[78] Tazawa S, Matsuo S, Saito K. A general characterization and simulation method for deposition and etching technology[J]. IEEE Transactions on Semiconductor Manufacturing, 1992, 5(1): 27-33.

[79] Kushner M J. Hybrid modelling of low temperature plasmas for fundamental investigations and equipment design[J]. Journal of Physics D: Applied Physics, 2009, 42(19): 194013.

[80] Xia J H, Rusli, Kumta A. Modeling of silicon carbide ECR etching by feed-forward neural network and its physical interpretations[J]. IEEE Transactions on Plasma Science, 2010, 38(5): 1091-1096.

[81] MackC. Fundamental principles of optical lithography: the science of microfabrication[M]. Chichester: John Wiley & Sons, Ltd, 2007. 10.1002/9780470723876

[82] Sears M K, Bekaert J, Smith B W. Lens wavefront compensation for 3D photomask effects in subwavelength optical lithography[J]. Applied Optics, 2013, 52(3): 314-322.

[83] Poonawala A, Milanfar P. OPC and PSM design using inverse lithography: a nonlinear optimization approach[J]. Proceedings of SPIE, 2006, 6154: 61543H.

[84] 韩雁. 集成电路设计制造中EDA工具使用教程[M]. 杭州: 浙江大学出版社, 2016.

    HanY. Tutorial on the use of EDA tools in integrated circuit design and manufacturing[M]. Hangzhou: Zhejiang University Press, 2016.

[85] Shen Y J, Wong N, Lam E Y. Level-set-based inverse lithography for photomask synthesis[J]. Optics Express, 2009, 17(26): 23690-23701.

[86] Baldi P. Gradient descent learning algorithm overview: a general dynamical systems perspective[J]. IEEE Transactions on Neural Networks, 1995, 6(1): 182-195.

[87] Fletcher R, Reeves C M. Function minimization by conjugate gradients[J]. The Computer Journal, 1964, 7(2): 149-154.

[88] Amari S I. Backpropagation and stochastic gradient descent method[J]. Neurocomputing, 1993, 5(4/5): 185-196.

[89] Broyden C G. Quasi-Newton methods and their application to function minimisation[J]. Mathematics of Computation, 1967, 21(99): 368-381.

[90] Li D H, Fukushima M. On the global convergence of the BFGS method for nonconvex unconstrained optimization problems[J]. SIAM Journal on Optimization, 2001, 11(4): 1054-1064.

[91] Pu D G, Yu W C. On the convergence property of the DFP algorithm[J]. Annals of Operations Research, 1990, 24(1): 175-184.

[92] 耿臻. 纳米级集成电路计算光刻技术研究[D]. 杭州: 浙江大学, 2015.

    GengZ. Research on computational lithography for nanometer-scale circuits[D]. Hangzhou: Zhejiang University, 2015.

[93] Osher S, Sethian J A. Fronts propagating with curvature-dependent speed: algorithms based on Hamilton-Jacobi formulations[J]. Journal of Computational Physics, 1988, 79(1): 12-49.

[94] 沈逸江, 王小朋, 周延周, 等. 基于半隐式离散化的局部水平集掩模优化[J]. 光学学报, 2021, 41(9): 0911004.

    Shen Y J, Wang X P, Zhou Y Z, et al. Local level set based mask optimization with semi-implicit discretization[J]. Acta Optica Sinica, 2021, 41(9): 0911004.

[95] Wu R X, Dong L S, Ma X, et al. Compensation of EUV lithography mask blank defect based on an advanced genetic algorithm[J]. Optics Express, 2021, 29(18): 28872-28885.

[96] Zhang Z N, Li S K, Wang X Z, et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm[J]. Optics Express, 2021, 29(4): 5448-5465.

[97] Zhao R B, Dong L S, Chen R, et al. Aberration optimization in an extreme ultraviolet lithography projector via a BP neural network and simulated annealing algorithm[J]. Applied Optics, 2021, 60(5): 1341-1348.

[98] Kumar M, Husain M, Upreti N, et al. Genetic algorithm: review and application[J]. SSRN Electronic Journal, 2010, 2(2): 451-454.

[99] Wang D S, Tan D P, Liu L. Particle swarm optimization algorithm: an overview[J]. Soft Computing, 2018, 22(2): 387-408.

[100] Bertsimas D, Tsitsiklis J. Simulated annealing[J]. Statistical Science, 1993, 8(1): 10-15.

[101] Luo R. Optical proximity correction using a multilayer perceptron neural network[J]. Journal of Optics, 2013, 15(7): 075708.

[102] Ma X, Wu B L, Song Z Y, et al. Fast pixel-based optical proximity correction based on nonparametric kernel regression[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(4): 043007.

[103] LeCun Y, Bengio Y, Hinton G. Deep learning[J]. Nature, 2015, 521(7553): 436-444.

[104] Yang H Y, Luo L Y, Su J, et al. Imbalance aware lithography hotspot detection: a deep learning approach[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2017, 16(3): 033504.

[105] Yang H Y, Li S H, Deng Z H, et al. GAN-OPC: mask optimization with lithography-guided generative adversarial nets[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2020, 39(10): 2822-2834.

[106] Ma X, Zhao Q L, Zhang H, et al. Model-driven convolution neural network for inverse lithography[J]. Optics Express, 2018, 26(25): 32565-32584.

[107] Zhang S G, Ma X, Zhang J B, et al. Fast optical proximity correction based on graph convolution network[J]. Proceedings of SPIE, 2021, 11613: 116130V.

[108] YuP. Fast and accurate lithography simulation and optical proximity correction for nanometer design for manufacturing[D]. Austin: University of Texas at Austin, 2009.

[109] Ma X, Song Z Y, Li Y Q, et al. Block-based mask optimization for optical lithography[J]. Applied Optics, 2013, 52(14): 3351-3363.

[110] 石蕊, 蔡懿慈, 洪先龙, 等. 基于规则的光学邻近矫正中规则的相关处理[J]. 半导体学报, 2002, 23(7): 701-706.

    Shi R, Cai Y C, Hong X L, et al. Important works about rules in rules-based optical proximity correction[J]. Chinese Journal of Semiconductors, 2002, 23(7): 701-706.

[111] Synopsys. A new worl of innovation[EB/OL]. [2021-03-05]. https://www.synopsys.com. 10.1007/0-306-47507-3_4

[112] KLA. Keep looking ahead[EB/OL]. [2021-03-05]. https://www.kla-tencor.com. 10.3788/lop20084504.0007c

[113] 杨祎巍, 史峥. 一种新的带有线段与控制点映射模型的光学邻近校正技术[J]. 光学学报, 2010, 30(6): 1667-1672.

    Yang Y W, Shi Z. A new optical proximity correction with mapping model between segments and control sites[J]. Acta Optica Sinica, 2010, 30(6): 1667-1672.

[114] Chen Y, Wu K, Shi Z, et al. A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functions[J]. Proceedings of SPIE, 2007, 6520: 65204C.

[115] 蔡懿慈, 周强, 洪先龙, 等. 光学邻近效应矫正(OPC)技术及其应用[J]. 中国科学(E辑: 信息科学), 2007, 37(12): 1607-1619.

    Cai Y C, Zhou Q, Hong X L, et al. Optical proximity correction (OPC) technology and its application[J]. Science in China (Series E: Information Sciences), 2007, 37(12): 1607-1619.

[116] Li Y M, Yu S M, Li Y L. Intelligent optical proximity correction using genetic algorithm with model- and rule-based approaches[J]. Computational Materials Science, 2009, 45(1): 65-76.

[117] Gao P R, Gu A, Zakhor A. Optical proximity correction with principal component regression[J]. Proceedings of SPIE, 2008, 6924: 69243N.

[118] 杨祎巍, 史峥, 严晓浪, 等. 基于光刻模型的动态自适应切分OPC[J]. 半导体学报, 2008, 29(7): 1422-1427.

    Yang Y W, Shi Z, Yan X L, et al. Model-based dynamic dissection in OPC[J]. Journal of Semiconductors, 2008, 29(7): 1422-1427.

[119] 沈泫, 史峥. 基于光刻模型的光学邻近校正切分优化方法[J]. 计算机工程, 2011, 37(23): 211-213, 225.

    Shen X, Shi Z. Segmentation optimization method for optical proximity correction based on lithography model[J]. Computer Engineering, 2011, 37(23): 211-213, 225.

[120] Huang Z Z, Ni L Y, Fujii K, et al. A model based OPC method to add serifs for corner rounding design of CMOS image sensor[J]. Proceedings of SPIE, 2019, 11148: 1114813.

[121] SIEMENS. Siemens EDA[EB/OL]. https://eda.sw.siemens.com/en-US/. 10.1007/978-3-642-02528-0_19

[122] Poonawala A A, Milanfar P. Double-exposure mask synthesis using inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2007, 6(4): 043001.

[123] Brist T E, Torres J A. Model-assisted placement of subresolution assist features: experimental results[J]. Proceedings of SPIE, 2003, 5042: 99-106.

[124] Villaret A, Tritchkov A, Entradas J, et al. Inverse lithography technique for advanced CMOS nodes[J]. Proceedings of SPIE, 2013, 8683: 86830E.

[125] Ping Y, Li X H, Jang S, et al. Tolerance-based OPC and solution to MRC-constrained OPC[J]. Proceedings of SPIE, 2011, 7973: 79732M.

[126] Liu Y, Zakhor A. Binary and phase shifting mask design for optical lithography[J]. IEEE Transactions on Semiconductor Manufacturing, 1992, 5(2): 138-152.

[127] Sherif S, Saleh B, de Leone R. Binary image synthesis using mixed linear integer programming[J]. IEEE Transactions on Image Processing, 1995, 4(9): 1252-1257.

[128] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Nanolithography, MEMS, and MOEMS, 2006, 5(4): 043002.

[129] Pang L Y, Liu Y, Abrams D. Inverse Lithography Technology (ILT): what is the impact to the photomask industry?[J]. Proceedings of SPIE, 2006, 6283: 62830X.

[130] Pang L Y, Liu Y, Abrams D. Inverse lithography technology (ILT): a natural solution for model-based SRAF at 45 nm and 32 nm[J]. Proceedings of SPIE, 2007, 6607: 660739.

[131] Ma X, Arce G R. Generalized inverse lithography methods for phase-shifting mask design[J]. Optics Express, 2007, 15(23): 15066-15079.

[132] Jia N N, Wong A K, Lam E Y. Robust mask design with defocus variation using inverse synthesis[J]. Proceedings of SPIE, 2008, 7140: 71401W.

[133] ChanS H, LamE Y. Inverse image problem of designing phase shifting masks in optical lithography[C]∥2008 15th IEEE International Conference on Image Processing, October 12-15, 2008, San Diego, CA, USA. New York: IEEE Press, 2008: 1832-1835. 10.1109/icip.2008.4712134

[134] Chan S H, Wong A K, Lam E Y. Initialization for robust inverse synthesis of phase-shifting masks in optical projection lithography[J]. Optics Express, 2008, 16(19): 14746-14760.

[135] Geng Z, Shi Z, Yan X L, et al. Regularized level-set-based inverse lithography algorithm for IC mask synthesis[J]. Journal of Zhejiang University SCIENCE C, 2013, 14(10): 799-807.

[136] Lv W, Liu S Y, Xia Q, et al. Level-set-based inverse lithography for mask synthesis using the conjugate gradient and an optimal time step[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 2013, 31(4): 041605.

[137] Shen Y J, Jia N N, Wong N, et al. Robust level-set-based inverse lithography[J]. Optics Express, 2011, 19(6): 5511-5521.

[138] Shen Y J. Level-set based mask synthesis with a vector imaging model[J]. Optics Express, 2017, 25(18): 21775-21785.

[139] Geng Z, Shi Z, Yan X L, et al. Fast level-set-based inverse lithography algorithm for process robustness improvement and its application[J]. Journal of Computer Science and Technology, 2015, 30(3): 629-638.

[140] Shen Y J, Peng F, Zhang Z R. Efficient optical proximity correction based on semi-implicit additive operator splitting[J]. Optics Express, 2019, 27(2): 1520-1528.

[141] Yu J C, Yu P C. Impacts of cost functions on inverse lithography patterning[J]. Optics Express, 2010, 18(22): 23331-23342.

[142] Ma X, Li Y Q, Guo X J, et al. Vectorial mask optimization methods for robust optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2012, 11(4): 043008.

[143] Lv W, Lam E Y, Wei H Q, et al. Cascadic multigrid algorithm for robust inverse mask synthesis in optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(2): 023003.

[144] Lv W, Xia Q, Liu S Y. Pixel-based inverse lithography using a mask filtering technique[J]. Proceedings of SPIE, 2013, 8683: 868325.

[145] Wu X F, Liu S Y, Lv W, et al. Robust and efficient inverse mask synthesis with basis function representation[J]. Journal of the Optical Society of America A, 2014, 31(12): B1-B9.

[146] Lutich A. Alternative to ILT method for high-quality full-chip SRAF insertion[J]. Proceedings of SPIE, 2015, 9426: 94260U.

[147] Ionescu R C, Hurley P, Apostol S. Inverse lithography using sparse mask representations[J]. Proceedings of SPIE, 2015, 9426: 94260K.

[148] Wang L, Li S K, Wang X Z, et al. Pixel-based mask optimization via particle swarm optimization algorithm for inverse lithography[J]. Proceedings of SPIE, 2016, 9780: 97801V.

[149] Yenikaya B. Full chip hierarchical inverse lithography: a solution with perfect symmetry[J]. Proceedings of SPIE, 2017, 10147: 101470L.

[150] Choi H J, Hamouda A. Inverse lithography OPC correction with multiple patterning and etch awareness[J]. Proceedings of SPIE, 2018, 10587: 105870O.

[151] Azuma R, Kohira Y. Pixel-based OPC using quadratic programming for mask optimization[J]. Institute of Electronics Information and Communication Engineer Technical Report, 2018, 118(29): 31-36.

[152] Azuma R, Kohira Y, Matsui T, et al. Process variation-aware mask optimization with iterative improvement by subgradient method and boundary flipping[J]. Proceedings of SPIE, 2020, 11328: 113280O.

[153] Su X J, Gao P Z, Wei Y Y, et al. SRAF rule extraction and insertion based on inverse lithography technology[J]. Proceedings of SPIE, 2019, 10961: 109610P.

[154] Gao P Z, Su X J, Shi W J, et al. Sub-resolution assist feature cleanup based on grayscale map[J]. IEEE Transactions on Semiconductor Manufacturing, 2019, 32(4): 583-588.

[155] Okudaira Y, Yashiki S. Pixelated mask optimization on quantum computers[J]. Proceedings of SPIE, 2020, 11327: 1132705.

[156] Chen G D, Li S K, Wang X Z. Efficient optical proximity correction based on virtual edge and mask pixelation with two-phase sampling[J]. Optics Express, 2021, 29(11): 17440-17463.

[157] Yu D, Liu Y, Hawkinson C. The application of a new stochastic search algorithm “Adam” in inverse lithography technology (ILT) in critical recording head fabrication process[J]. Proceedings of SPIE, 2021, 11613: 116130N.

[158] SYNOPSYS. Proteus ILT-advanced correction of optical proximity effects[EB/OL].[2021-06-03]. https://www.synopsys.com/silicon/mask-synthesis/proteus-ilt.html. 10.1007/0-306-47507-3_4

[159] SIEMENS. Calibre computational lithography[EB/OL]. [2021-03-05]. https://eda.sw.siemens.com/en-US/ic/calibre-manufacturing/computational-lithography/. 10.1002/9780470618943.ch5

[160] Spence C A, Zhang Q, Shu V, et al. Manufacturing challenges for curvilinear masks[J]. Proceedings of SPIE, 2017, 10451: 1045104.

[161] Torres J A, Granik Y. Model-assisted complementary double exposure with source optimization[J]. Proceedings of SPIE, 2004, 5567: 425-433.

[162] Ma L, Dong L S, Fan T A, et al. Analysis and mitigation of forbidden pitch effects for EUV lithography[J]. Proceedings of SPIE, 2020, 11517: 115171B.

[163] Lv W, Liu S Y, Wu X F, et al. Illumination source optimization in optical lithography via derivative-free optimization[J]. Journal of the Optical Society of America A, 2014, 31(12): B19-B26.

[164] Tian K H, Krasnoperova A, Melville D, et al. Benefits and trade-offs of global source optimization in optical lithography[J]. Proceedings of SPIE, 2009, 7274: 72740C.

[165] Yu J C, Yu P C, Chao H Y. Fast source optimization involving quadratic line-contour objectives for the resist image[J]. Optics Express, 2012, 20(7): 8161-8174.

[166] Jiang H B, Xing T W, Du M. Source optimization using simulated annealing algorithm[J]. Proceedings of SPIE, 2014, 9282: 928239.

[167] 闫观勇, 李思坤, 王向朝. 基于二次规划的光刻机光源优化方法[J]. 光学学报, 2014, 34(10): 1022004.

    Yan G Y, Li S K, Wang X Z. Source optimization method of lithography tools based on quadratic programming[J]. Acta Optica Sinica, 2014, 34(10): 1022004.

[168] Wang L, Li S K, Wang X Z, et al. Source optimization using particle swarm optimization algorithm in photolithography[J]. Proceedings of SPIE, 2015, 9426: 94261L.

[169] Tawada M, Hashimoto T, Sakanushi K, et al. Fast source optimization by clustering algorithm based on lithography properties[J]. Proceedings of SPIE, 2015, 9427: 94270K.

[170] Finders J, Broman P, van Adrichem P, et al. EUV source optimization driven by fundamental diffraction considerations[J]. Proceedings of SPIE, 2017, 10450: 104500C.

[171] LiuY, SunY Y, LiY Q, et al. Source optimization under thick mask model[C]∥2020 International Workshop on Advanced Patterning Solutions (IWAPS), November 5-6, 2020, Chengdu, China. New York: IEEE Press, 2020: 20300043. 10.1109/iwaps51164.2020.9286817

[172] Ma X, Shi D X, Wang Z Q, et al. Lithographic source optimization based on adaptive projection compressive sensing[J]. Optics Express, 2017, 25(6): 7131-7149.

[173] Sun Y Y, Li Y Q, Li T, et al. Fast lithographic source optimization method of certain contour sampling-Bayesian compressive sensing for high fidelity patterning[J]. Optics Express, 2019, 27(22): 32733-32745.

[174] Lin J X, Dong L S, Fan T A, et al. Learning-based compressive sensing method for EUV lithographic source optimization[J]. Optics Express, 2019, 27(16): 22563-22581.

[175] WuQ. Photolithography process near the diffraction limit[M]. Beijing: Tsinghua University Press, 2020.

[176] Erdmann A, Füehner T, Schnattinger T, et al. Toward automatic mask and source optimization for optical lithography[J]. Proceedings of SPIE, 2004, 5377: 646-657.

[177] Socha R, Shi X L, LeHoty D. Simultaneous source mask optimization (SMO)[J]. Proceedings of SPIE, 2005, 5853: 180-193.

[178] Pang L Y, Xiao G M, Tolani V, et al. Considering MEEF in inverse lithography technology (ILT) and source mask optimization (SMO)[J]. Proceedings of SPIE, 2008, 7122: 71221W.

[179] Hsu S, Chen L Q, Li Z P, et al. An innovative Source-Mask co-Optimization (SMO) method for extending low k1 imaging[J]. Proceedings of SPIE, 2008, 7140: 714010.

[180] Nakashima T, Matsuyama T, Owa S. Feasibility studies of source and mask optimization[J]. Proceedings of SPIE, 2009, 7520: 75200C.

[181] Mülders T, Domnenko V, Küchler B, et al. Simultaneous source-mask optimization: a numerical combining method[J]. Proceedings of SPIE, 2010, 7823: 78233X.

[182] Ma X, Han C Y, Li Y Q, et al. Hybrid source mask optimization for robust immersion lithography[J]. Applied Optics, 2013, 52(18): 4200-4211.

[183] Kim H C, Lee J H, Shin J C, et al. A study of source mask optimization for logic device through experiment and simulations[J]. Proceedings of SPIE, 2011, 7973: 79731E.

[184] Jia N N, Lam E Y. Pixelated source mask optimization for process robustness in optical lithography[J]. Optics Express, 2011, 19(20): 19384-19398.

[185] Li J, Shen Y J, Lam E Y. Hotspot-aware fast source and mask optimization[J]. Optics Express, 2012, 20(19): 21792-21804.

[186] Wu X F, Liu S Y, Li J, et al. Efficient source mask optimization with Zernike polynomial functions for source representation[J]. Optics Express, 2014, 22(4): 3924-3937.

[187] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics & Laser Technology, 2013, 45: 285-293.

[188] Chen A, Foong Y M, Schramm J, et al. Layer aware source mask target optimization[J]. Proceedings of SPIE, 2016, 9780: 97801A.

[189] El-Sewefy O, Chen A, Lafferty N, et al. Source mask optimization using 3D mask and compact resist models[J]. Proceedings of SPIE, 2016, 9780: 978019.

[190] 杨朝兴, 李思坤, 王向朝. 基于多染色体遗传算法的像素化光源掩模优化方法[J]. 光学学报, 2016, 36(8): 0811001.

    Yang C X, Li S K, Wang X Z. Pixelated source mask optimization based on multi chromosome genetic algorithm[J]. Acta Optica Sinica, 2016, 36(8): 0811001.

[191] 杨朝兴, 李思坤, 王向朝. 基于动态适应度函数的光源掩模优化方法[J]. 光学学报, 2016, 36(1): 0111006.

    Yang C X, Li S K, Wang X Z. Source mask optimization based on dynamic fitness function[J]. Acta Optica Sinica, 2016, 36(1): 0111006.

[192] Shen Y J. Lithographic source and mask optimization with narrow-band level-set method[J]. Optics Express, 2018, 26(8): 10065-10078.

[193] Shen Y J, Peng F, Zhang Z R. Semi-implicit level set formulation for lithographic source and mask optimization[J]. Optics Express, 2019, 27(21): 29659-29668.

[194] Chen G D, Li S K, Wang X Z. Source mask optimization using the covariance matrix adaptation evolution strategy[J]. Optics Express, 2020, 28(22): 33371-33389.

[195] Alleaume C, Yesilada E, Farys V, et al. A systematic study of source error in source mask optimization[J]. Proceedings of SPIE, 2010, 7823: 782312.

[196] Aoyama H, Mizuno Y, Hirayanagi N, et al. Impact of realistic source shape and flexibility on source mask optimization[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2013, 13(1): 011005.

[197] Han C Y, Li Y Q, Ma X, et al. Robust hybrid source and mask optimization to lithography source blur and flare[J]. Applied Optics, 2015, 54(17): 5291-5302.

[198] Li T, Li Y Q. Lithographic source and mask optimization with low aberration sensitivity[J]. IEEE Transactions on Nanotechnology, 2017, 16(6): 1099-1105.

[199] SIEMENS. Design with Calibre[EB/OL]. [2021-03-06]. https://blogs.sw.siemens.com/calibre/. 10.11606/d.54.1981.tde-20022014-175529

[200] 陈文辉, 何建芳, 董立松, 等. 光源掩模协同优化的原理与应用[J]. 半导体技术, 2017, 42(9): 641-649.

    Chen W H, He J F, Dong L S, et al. Mechanism and applications of source mask optimization[J]. Semiconductor Technology, 2017, 42(9): 641-649.

[201] Jia N N, Lam E Y. Robustness enhancement in optical lithography: from pixelated mask optimization to pixelated source-mask optimization[J]. ECS Transactions, 2011, 34(1): 203-208.

[202] Donoho D L. Compressed sensing[J]. IEEE Transactions on Information Theory, 2006, 52(4): 1289-1306.

[203] Tropp J A, Gilbert A C. Signal recovery from random measurements via orthogonal matching pursuit[J]. IEEE Transactions on Information Theory, 2007, 53(12): 4655-4666.

[204] Figueiredo M A T, Nowak R D, Wright S J. Gradient projection for sparse reconstruction: application to compressed sensing and other inverse problems[J]. IEEE Journal of Selected Topics in Signal Processing, 2007, 1(4): 586-597.

[205] Boyd S, Parikh N, Chu E, et al. Distributed optimization and statistical learning via the alternating direction method of multipliers[J]. Foundations and Trends® in Machine Learning, 2010, 3(1): 1-122.

[206] Cai J F, Osher S, Shen Z W. Linearized bregman iterations for compressed sensing[J]. Mathematics of Computation, 2009, 78(267): 1515-1536.

[207] Blumensath T. Compressed sensing with nonlinear observations and related nonlinear optimization problems[J]. IEEE Transactions on Information Theory, 2013, 59(6): 3466-3474.

[208] 陈胜垚, 席峰, 刘中. lp范数正则化的混沌压缩感知信号重构性能[J]. 系统仿真学报, 2013, 25(11): 2667-2671.

    Chen S Y, Xi F, Liu Z. Reconstruction performance of chaotic compressive sensing with lp-norm regularization[J]. Journal of System Simulation, 2013, 25(11): 2667-2671.

[209] Cai J F, Osher S, Shen Z W. Split bregman methods and frame based image restoration[J]. Multiscale Modeling & Simulation, 2010, 8(2): 337-369.

[210] Ma X, Wang Z Q, Lin H J, et al. Optimization of lithography source illumination arrays using diffraction subspaces[J]. Optics Express, 2018, 26(4): 3738-3755.

[211] Wang Z Q, Ma X, Chen R, et al. Comparison of different lithographic source optimization methods based on compressive sensing[J]. Proceedings of SPIE, 2020, 25510: 2551037.

[212] Lin J X, Dong L S, Fan T A, et al. Compressive sensing method for EUV source optimization using different bases[J]. Proceedings of SPIE, 2020, 25518: 2551897.

[213] Liao G H, Sun Y Y, Wei P Z, et al. Multi-objective adaptive source optimization for full chip[J]. Applied Optics, 2021, 60(9): 2530-2536.

[214] OhlssonH, YangA Y, DongR, et al. Nonlinear basis pursuit[C]∥2013 Asilomar Conference on Signals, Systems and Computers, November 3-6, 2013, Pacific Grove, CA, USA. New York: IEEE Press, 2013: 115-119. 10.1109/acssc.2013.6810285

[215] Ma X, Wang Z Q, Li Y Q, et al. Fast optical proximity correction method based on nonlinear compressive sensing[J]. Optics Express, 2018, 26(11): 14479-14498.

[216] Ma X, Wang Z Q, Zhu J C, et al. Nonlinear compressive inverse lithography aided by low-rank regularization[J]. Optics Express, 2019, 27(21): 29992-30008.

[217] Ma X, Wang Z Q, Arce G R, et al. Compressive sensing approaches for lithographic source and mask joint optimization generalized Euler’ constant family[J]. Journal of Microelectronic Manufacturing, 2018, 1(2): 1-7.

[218] Wang Z Q, Ma X, Chen R, et al. Fast pixelated lithographic source and mask joint optimization based on compressive sensing[J]. IEEE Transactions on Computational Imaging, 2020, 6: 981-992.

[219] Sun Y Y, Sheng N Y, Li T, et al. Fast nonlinear compressive sensing lithographic source and mask optimization method using Newton-IHTs algorithm[J]. Optics Express, 2019, 27(3): 2754-2770.

[220] Miyama S, Yamamoto K, Koyama K. Large-area optical proximity correction with a combination of rule-based and simulation-based methods[J]. Japanese Journal of Applied Physics, 1996, 35(12B): 6370-6373.

[221] Matsunawa T, Yu B, Pan D Z. Optical proximity correction with hierarchical Bayes model[J]. Proceedings of SPIE, 2015, 9426: 94260X.

[222] Choi S, Shim S, Shin Y. Machine learning (ML)-guided OPC using basis functions of polar Fourier transform[J]. Proceedings of SPIE, 2016, 9780: 97800H.

[223] Choi S, Shim S, Shin Y. Neural network classifier-based OPC with imbalanced training data[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 38(5): 938-948.

[224] JiangB T, ZhangH, YangJ L, et al. A fast machine learning-based mask printability predictor for OPC acceleration[C]∥Proceedings of the 24th Asia and South Pacific Design Automation Conference, January 21-24, 2019, Tokyo, Japan. New York: ACM Press, 2019: 412-419. 10.1145/3287624.3287682

[225] XuX Q, MatsunawaT, NojimaS, et al. A machine learning based framework for sub-resolution assist feature generation[C]∥Proceedings of the 2016 on International Symposium on Physical Design, April 3-6, 2016, Santa Rosa, California, USA. New York: ACM Press, 2016: 161-168. 10.1145/2872334.2872357

[226] Xu X Q, Lin Y B, Li M, et al. Subresolution assist feature generation with supervised data learning[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, 37(6): 1225-1236.

[227] Guajardo M, Chen Y L, Brooker P, et al. Investigation of machine learning for dual OPC and assist feature printing optimization[J]. Proceedings of SPIE, 2019, 10962: 109620E.

[228] 张恒, 李思坤, 王向朝, 等. 基于机器学习校正的极紫外光刻含缺陷掩模仿真方法[J]. 光学学报, 2018, 38(12): 1222002.

    Zhang H, Li S K, Wang X Z, et al. 3D rigorous simulation of defective masks used for EUV lithography via machine learning-based calibration[J]. Acta Optica Sinica, 2018, 38(12): 1222002.

[229] Kim B, Lee B T. Prediction of silicon oxynitride plasma etching using a generalized regression neural network[J]. Journal of Applied Physics, 2005, 98(3): 034912.

[230] ShimS, ChoiS, ShinY. Machine learning (ML)-based lithography optimizations[C]∥2016 IEEE Asia Pacific Conference on Circuits and Systems, October 25-28, 2016, Jeju, Korea (South). New York: IEEE Press, 2016: 530-533. 10.1109/apccas.2016.7804021

[231] Shim S, Shin Y. Etch proximity correction through machine-learning-driven etch bias model[J]. Proceedings of SPIE, 2016, 9782: 97820O.

[232] ChenR, HuH R, LiX T, et al. ETCH model based on machine learning[C]∥2020 China Semiconductor Technology International Conference (CSTIC), June 26-July 17, 2020, Shanghai, China. New York: IEEE Press, 2020: 20299208. 10.1109/cstic49141.2020.9282462

[233] Hinton G E, Salakhutdinov R R. Reducing the dimensionality of data with neural networks[J]. Science, 2006, 313(5786): 504-507.

[234] GoodfellowI, BengioY, CourvilleA. Deep learning[M]. Cambridge: The MIT Press, 2016.

[235] 郑胤, 陈权崎, 章毓晋. 深度学习及其在目标和行为识别中的新进展[J]. 中国图象图形学报, 2014, 19(2): 175-184.

    Zheng Y, Chen Q Q, Zhang Y J. Deep learning and its new progress in object and behavior recognition[J]. Journal of Image and Graphics, 2014, 19(2): 175-184.

[236] LinY B. Deep learning for mask synthesis and verification: a survey[C]∥Proceedings of the 26th Asia and South Pacific Design Automation Conference, January 18-21, 2021, Tokyo, Japan. New York: ACM Press, 2021: 825-832. 10.1145/3394885.3431624

[237] Chen Y, Lin Y B, Dong L S, et al. SoulNet: ultrafast optical source optimization utilizing generative neural networks for advanced lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2019, 18(4): 043506.

[238] Shi X L, Zhao Y H, Cheng S M, et al. Optimal feature vector design for computational lithography[J]. Proceedings of SPIE, 2019, 10961: 109610O.

[239] Chen G J, Chen W L, Sun Q, et al. DAMO: deep agile mask optimization for full chip scale[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021, 6511: 20197979.

[240] Chen K Y, Lan A, Yang R, et al. Full-chip application of machine learning SRAFs on DRAM case using auto pattern selection[J]. Proceedings of SPIE, 2019, 10961: 1096108.

[241] Alawieh M B, Lin Y B, Zhang Z W, et al. GAN-SRAF: subresolution assist feature generation using generative adversarial networks[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021, 40(2): 373-385.

[242] Kareem P, Shin Y. Synthesis of lithography test patterns using machine learning model[J]. IEEE Transactions on Semiconductor Manufacturing, 2021, 34(1): 49-57.

[243] YeW, AlawiehM B, LinY B, et al. LithoGAN: end-to-end lithography modeling with generative adversarial networks[C]∥Proceedings of the 56th Annual Design Automation Conference 2019, June 2-6, 2019, Las Vegas, NV, USA. New York: ACM Press, 2019: 107-113. 10.1145/3316781.3317852

[244] YeW, AlawiehM B, WatanabeY, et al. TEMPO: fast mask topography effect modeling with deep learning[C]∥Proceedings of the 2020 International Symposium on Physical Design, September 20-23, 2020, Taipei, Taiwan, China. New York: ACM Press, 2020: 127-134. 10.1145/3372780.3375565

[245] Lan S, Liu J, Wang Y M, et al. Deep learning assisted fast mask optimization[J]. Proceedings of SPIE, 2018, 10587: 105870H.

[246] Borisov V, Scheible J. Research on data augmentation for lithography hotspot detection using deep learning[J]. Proceedings of SPIE, 2018, 10775: 107751A.

[247] Sim W, Lee K, Yang D D, et al. Automatic correction of lithography hotspots with a deep generative model[J]. Proceedings of SPIE, 2019, 10961: 1096105.

[248] Xu Z B, Sun J. Model-driven deep-learning[J]. National Science Review, 2017, 5(1): 22-24.

[249] Zheng X Q, Ma X, Zhao Q L, et al. Model-informed deep learning for computational lithography with partially coherent illumination[J]. Optics Express, 2020, 28(26): 39475-39491.

[250] Flagello D G, Geh B, Hansen S G, et al. Polarization effects associated with hyper-numerical-aperture (>1) lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2005, 4(3): 031104.

[251] Dam T, Tolani V, Hu P, et al. Source-mask optimization (SMO): from theory to practice[J]. Proceedings of SPIE, 2010, 7640: 764028.

[252] Milster T D, Noble H, Ford E, et al. Polarization holograms for source-mask optimization[J]. Proceedings of SPIE, 2011, 7973: 79731A.

[253] Ma X, Gao J, Han C Y, et al. Efficient source polarization optimization for robust optical lithography[J]. Proceedings of SPIE, 2014, 9052: 90520T.

[254] Ma X, Dong L S, Han C Y, et al. Gradient-based joint source polarization mask optimization for optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2015, 14(2): 023504.

[255] Guo X J, Li Y Q, Dong L S, et al. Co-optimization of the mask, process, and lithography-tool parameters to extend the process window[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(1): 013015.

[256] Guo X J, Li Y Q, Dong L S, et al. Parametric source-mask-numerical aperture co-optimization for immersion lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(4): 043013.

[257] Staals F, Andryzhyieuskaya A, Bakker H, et al. Advanced wavefront engineering for improved imaging and overlay applications on a 1.35 NA immersion scanner[J]. Proceedings of SPIE, 2011, 7973: 79731G.

[258] Fukuda H, Yamanaka R. A new pupil filter for annular illumination in optical lithography[J]. Japanese Journal of Applied Physics, 1992, 31(12B): 4126-4130.

[259] Sears M, Bekaert J, Smith B W. Pupil wavefront manipulation for optical nanolithography[J]. Proceedings of SPIE, 2012, 8326: 832611.

[260] Fühner T, Evanschitzky P, Erdmann A. Mutual source, mask and projector pupil optimization[J]. Proceedings of SPIE, 2012, 8326: 83260I.

[261] Li J, Lam E Y. Joint optimization of source, mask, and pupil in optical lithography[J]. Proceedings of SPIE, 2014, 9052: 90520S.

[262] Han C Y, Li Y Q, Dong L S, et al. Inverse pupil wavefront optimization for immersion lithography[J]. Applied Optics, 2014, 53(29): 6861-6871.

[263] 王磊, 李思坤, 王向朝, 等. 基于粒子群优化算法的光刻机光源掩模投影物镜联合优化方法[J]. 光学学报, 2017, 37(10): 1022001.

    Wang L, Li S K, Wang X Z, et al. Source mask projector optimization method of lithography tools based on particle swarm optimization algorithm[J]. Acta Optica Sinica, 2017, 37(10): 1022001.

[264] Takeuchi K, Ozawa K, Uesawa F, et al. Novel robust optimization method of lithographic conditions for correlative multilayers beyond 65 nm node[J]. Proceedings of SPIE, 2005, 5754: 877-888.

[265] Liebmann L, Chu A, Gutwin P. The daunting complexity of scaling to 7 nm without EUV: pushing DTCO to the extreme[J]. Proceedings of SPIE, 2015, 9427: 942702.

[266] Yang D, Gan C, Chidambaram P R, et al. Technology-design-manufacturing co-optimization for advanced mobile SoCs[J]. Proceedings of SPIE, 2014, 9053: 90530N.

[267] KimY H, MongaU, LeeJ M, et al. The efficient DTCO compact modeling solutions to improve MHC and reduce TAT[C]∥2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), September 24-26, 2018, Austin, TX, USA. New York: IEEE Press, 2018: 58-61. 10.1109/sispad.2018.8551725

[268] LiebmannL, ZengJ, ZhuX L, et al. Overcoming scaling barriers through design technology cooptimization[C]∥2016 IEEE Symposium on VLSI Technology, June 14-16, 2016, Honolulu, HI. New York: IEEE Press, 2016: 16337039. 10.1109/vlsit.2016.7573398

[269] Duan Y L, Su X J, Chen Y, et al. Design technology co-optimization for 14/10 nm metal1 double patterning layer[J]. Proceedings of SPIE, 2016, 9781: 97810X.

[270] Wang X L, Tseng L T, Allenet T, et al. Progress in EUV resists status towards high-NA EUV lithography[J]. Proceedings of SPIE, 2020, 11323: 113230C.

[271] International roadmap for devices and systems. More moore[R]. New York: IEEE, 2021. 10.1109/miel52794.2021.9569101

[272] 张立超. 极紫外多层膜技术研究进展[J]. 中国光学与应用光学, 2010, 3(6): 554-565.

    Zhang L C. Progress in EUV multilayer coating technologies[J]. Chinese Journal of Optics and Applied Optics, 2010, 3(6): 554-565.

[273] Brandt D C, Fomenkov I V, Ershov A I, et al. LPP source system development for HVM[J]. Proceedings of SPIE, 2009, 7271: 727103.

[274] Ma X, Wang Z Q, Chen X B, et al. Gradient-based source mask optimization for extreme ultraviolet lithography[J]. IEEE Transactions on Computational Imaging, 2019, 5(1): 120-135.

[275] 杜宇禅, 李海亮, 史丽娜, 等. 32 nm节点极紫外光刻掩模的集成研制[J]. 光学学报, 2013, 33(10): 1034002.

    Du Y C, Li H L, Shi L N, et al. Integrated development of extreme ultraviolet lithography mask at 32 nm node[J]. Acta Optica Sinica, 2013, 33(10): 1034002.

[276] 曹宇婷, 王向朝, 步扬, 等. 极紫外投影光刻掩模阴影效应分析[J]. 光学学报, 2012, 32(8): 0805001.

    Cao Y T, Wang X Z, Bu Y, et al. Analysis of mask shadowing effects in extreme-ultraviolet lithography[J]. Acta Optica Sinica, 2012, 32(8): 0805001.

[277] Raghunathan S, McIntyre G, Fenger G, et al. Mask 3D effects and compensation for high NA EUV lithography[J]. Proceedings of SPIE, 2013, 8679: 867918.

[278] 杨雄. 极紫外投影光刻掩模若干问题研究[D]. 北京: 中国科学院长春光学精密机械与物理研究所, 2005.

    YangX. Investigation on extreme ultraviolet lithography mask[D]. Changchun: Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, 2005.

[279] Krautschik C G, Ito M, Nishiyama I, et al. Impact of EUV light scatter on CD control as a result of mask density changes[J]. Proceedings of SPIE, 2002, 4688: 289-301.

[280] Pathak P, Yan Q L, Schmoeller T, et al. Modelling strategies for the incorporation and correction of optical effects in EUVL[J]. Microelectronic Engineering, 2009, 86(4/5/6): 500-504.

[281] Shiraishi M, Oshino T, Murakami K, et al. Flare modeling and calculation on EUV optics[J]. Proceedings of SPIE, 2010, 7636: 763629.

[282] Sugawara M. Assessment of pattern position shift for defocusing in EUV lithography[J]. Proceedings of SPIE, 2007, 6517: 65170X.

[283] Li J, Yasuaki I, Nakasugi S, et al. A chemical underlayer approach to mitigate shot noise in EUV contact hole patterning[J]. Proceedings of SPIE, 2014, 9051: 905117.

[284] Kim S M, Koo S, Park J T, et al. EUV stochastic noise analysis and LCDU mitigation by etching on dense contact-hole array patterns[J]. Proceedings of SPIE, 2014, 9048: 90480A.

[285] Nikolsky P, Davydova N, van Ingen Schenau K, et al. Feasibility study of the approach to flare, shadowing, optical and process corrections for EUVL OPC[J]. Proceedings of SPIE, 2009, 7488: 74882N.

[286] Deng Y F, Kye J, La Fontaine B, et al. Exposure tool settings and OPC strategies for EUV lithography at the 16-nm node[J]. Proceedings of SPIE, 2009, 7271: 727119.

[287] Kim I, Kang H, Park C, et al. Methodology of flare modeling and compensation in EUVL[J]. Proceedings of SPIE, 2008, 7140: 714009.

[288] Arisawa Y, Aoyama H, Uno T, et al. EUV flare correction for the half-pitch 22 nm node[J]. Proceedings of SPIE, 2010, 7636: 763618.

[289] Lorusso G F, Hendrickx E, Fenger G L, et al. Full chip correction of EUV design[J]. Proceedings of SPIE, 2010, 7636: 763615.

[290] Ma X, Wang J, Chen X B, et al. Gradient-based inverse extreme ultraviolet lithography[J]. Applied Optics, 2015, 54(24): 7284-7300.

[291] Hooker K, Lucas K, Küchler B, et al. ILT optimization of EUV masks for sub-7 nm lithography[J]. Proceedings of SPIE, 2017, 10446: 1044604.

[292] Clifford C, Lam M, Raghunathan A, et al. Optical proximity correction for anamorphic extreme ultraviolet lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2017, 16(4): 041004.

[293] Lam M, Clifford C, Raghunathan A, et al. Enabling full field physics based OPC via dynamic model generation[J]. Proceedings of SPIE, 2017, 10143: 1014316.

[294] Zhang H, Li S K, Wang X Z, et al. Optimization of defect compensation for extreme ultraviolet lithography mask by covariance-matrix-adaption evolution strategy[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2018, 18(4): 043505.

[295] Wu R X, Dong L S, Chen R, et al. A method for compensating lithographic influence of EUV mask blank defects by an advanced genetic algorithm[J]. Proceedings of SPIE, 2019, 11147: 111471U.

[296] Kim C, Lee S, Park S, et al. Machine learning techniques for OPC improvement at the sub-5 nm node[J]. Proceedings of SPIE, 2020, 11323: 1132317.

[297] Lin J X, Dong L S, Fan T A, et al. Fast extreme ultraviolet lithography mask near-field calculation method based on machine learning[J]. Applied Optics, 2020, 59(9): 2829-2838.

[298] Jiang F, Burkhardt M, Raghunathan A, et al. Implementation of assist features in EUV lithography[J]. Proceedings of SPIE, 2015, 9422: 94220U.

[299] Mochi I, Philipsen V, Gallagher E, et al. Assist features: placement, impact, and relevance for EUV imaging[J]. Proceedings of SPIE, 2016, 9776: 97761S.

[300] Kuo H F, Wu W C. Forming freeform source shapes by utilizing particle swarm optimization to enhance resolution in extreme UV nanolithography[J]. IEEE Transactions on Nanotechnology, 2015, 14(2): 322-329.

[301] Dong L S, Chen R, Fan T A, et al. Impact of flare on source mask optimization in EUVL for 7nm technology node[J]. Proceedings of SPIE, 2020, 11323: 113232E.

[302] Zhang Z N, Li S K, Wang X Z, et al. Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling[J]. Optics Express, 2021, 29(14): 22778-22795.

[303] Zou L L, Sun Y Y, Wei P Z, et al. Exposure latitude aware source and mask optimization for extreme ultraviolet lithography[J]. Applied Optics, 2021, 60(30): 9404-9410.

马旭, 张胜恩, 潘毅华, 张钧碧, 余成臻, 董立松, 韦亚一. 计算光刻研究及进展[J]. 激光与光电子学进展, 2022, 59(9): 0922008. Xu Ma, Sheng’en Zhang, Yihua Pan, Junbi Zhang, Chengzhen Yu, Lisong Dong, Yayi Wei. Research and Progress of Computational Lithography[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922008.

本文已被 3 篇论文引用
被引统计数据来源于中国光学期刊网
引用该论文: TXT   |   EndNote

相关论文

加载中...

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!