激光与光电子学进展, 2022, 59 (9): 0922007, 网络出版: 2022-05-10   

深紫外计算光刻技术研究 下载: 2352次特邀综述

Study on Deep Ultraviolet Computational Lithography Techniques
作者单位
1 中国科学院上海光学精密机械研究所信息光学与光电技术实验室,上海 201800
2 中国科学院大学材料与光电研究中心,北京 100049
引用该论文

陈国栋, 张子南, 李思坤, 王向朝. 深紫外计算光刻技术研究[J]. 激光与光电子学进展, 2022, 59(9): 0922007.

Guodong Chen, Zinan Zhang, Sikun Li, Xiangzhao Wang. Study on Deep Ultraviolet Computational Lithography Techniques[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922007.

参考文献

[1] 王向朝, 戴凤钊, 李思坤, 等. 集成电路与光刻机[M]. 北京: 科学出版社, 2020.

    WangX Z, DaiF Z, LiS K, et al. Integrated circuit and lithographic tool[M]. Beijing: Science Press, 2020.

[2] 王向朝, 戴凤钊. 光刻机像质检测技术-上册[M]. 北京: 科学出版社, 2021.

    WangX Z, DaiF Z. Image quality measurement techniques for lithographic tool (volume Ⅰ)[M]. Beijing: Science Press, 2021.

[3] 王向朝, 戴凤钊. 光刻机像质检测技术-下册[M]. 北京: 科学出版社, 2021.

    WangX Z, DaiF Z. Image quality measurement techniques for lithographic tool (volume Ⅱ)[M]. Beijing: Science Press, 2021.

[4] 茅言杰. 投影光刻机匹配关键技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2019.

    MaoY J. Study on key techniques of scanner matching[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Science, 2019.

[5] 诸波尔. 浸没式光刻机投影物镜波像差检测技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2018.

    ZhuB E. Study on wavefront aberration measurement for immersion lithographic projection lens[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2018.

[6] 孟泽江. 浸没式光刻机投影物镜偏振像差检测技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2019.

    MengZ J. Study on polarization aberration measurement for immersion lithographic projection lens[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2019.

[7] 张恒. 三维极紫外光刻掩模建模及缺陷补偿技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2019. 10.1016/j.optcom.2019.07.029

    ZhangH. Study on three-dimensional mask modeling and defect compensation method in extreme ultraviolet lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2019.

[8] 杨朝兴. 基于遗传算法的光刻机光源掩模优化技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2016.

    YangC X. Study on source mask optimization based on genetic algorithm for lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2016.

[9] 陈国栋. 深紫外计算光刻技术研究[D]. 上海: 中国科学院上海光学精密机械研究所, 2021.

    ChenG D. Study on computational lithography techniques for deep ultraviolet lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2021.

[10] 李兆泽. 基于随机并行梯度速降算法的光刻机光源掩模优化技术[D]. 上海: 中国科学院上海光学精密机械研究所, 2014. 10.3788/aos201434.0911002

    LiZ Z. Source and mask optimization using stochastic parallel gradient descent algorithm in optical lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2014.

[11] 王磊. 基于粒子群算法的光刻机光源掩模投影物镜优化技术[D]. 上海: 中国科学院上海光学精密机械研究所, 2017. 10.3788/AOS201737.1022001

    WangL. Source mask projector optimization using particle swarm optimization algorithm in optical lithography[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2017.

[12] 邱自成. 基于标量与矢量场衍射理论的光刻成像模型及其应用[D]. 上海: 中国科学院上海光学精密机械研究所, 2010.

    QiuZ C. The lithographic imaging models based on the scalar and vector diffraction theories and their application[D]. Shanghai: Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences, 2010.

[13] Zhang Z N, Li S K, Wang X Z, et al. Source mask optimization for extreme-ultraviolet lithography based on thick mask model and social learning particle swarm optimization algorithm[J]. Optics Express, 2021, 29(4): 5448-5465.

[14] Zhang Z N, Li S K, Wang X Z, et al. Fast heuristic-based source mask optimization for EUV lithography using dual edge evolution and partial sampling[J]. Optics Express, 2021, 29(14): 22778-22795.

[15] Liao L F, Li S K, Wang X Z, et al. Critical pattern selection method for full-chip source and mask optimization[J]. Optics Express, 2020, 28(14): 20748-20763.

[16] 张恒, 李思坤, 王向朝. 基于改进型结构分解的极紫外光刻掩模衍射谱快速仿真方法[J]. 光学学报, 2018, 38(1): 0105001.

    Zhang H, Li S K, Wang X Z. A rapid simulation method for diffraction spectra of EUV lithography mask based on improved structural decomposition[J]. Acta Optica Sinica, 2018, 38(1): 0105001.

[17] Chen G D, Li S K, Wang X Z. Efficient optical proximity correction based on virtual edge and mask pixelation with two-phase sampling[J]. Optics Express, 2021, 29(11): 17440-17463.

[18] Li S K, Wang X Z, Bu Y. Robust pixel-based source and mask optimization for inverse lithography[J]. Optics & Laser Technology, 2013, 45: 285-293.

[19] Yang C X, Wang X Z, Li S K, et al. Source mask optimization using real-coded genetic algorithms[J]. Proceedings of SPIE, 2013, 8683: 86831T.

[20] Yang C X, Li S K, Wang X Z. Efficient source mask optimization using multipole source representation[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(4): 043001.

[21] 杨朝兴, 李思坤, 王向朝. 基于多染色体遗传算法的像素化光源掩模优化方法[J]. 光学学报, 2016, 36(8): 0811001.

    Yang C X, Li S K, Wang X Z. Pixelated source mask optimization based on multi chromosome genetic algorithm[J]. Acta Optica Sinica, 2016, 36(8): 0811001.

[22] 李兆泽, 李思坤, 王向朝. 基于随机并行梯度速降算法的光刻机光源与掩模联合优化方法[J]. 光学学报, 2014, 34(9): 0911002.

    Li Z Z, Li S K, Wang X Z. Source and mask optimization using stochastic parallel gradient descent algorithm in optical lithography[J]. Acta Optica Sinica, 2014, 34(9): 0911002.

[23] Chen G D, Li S K, Wang X Z. Source mask optimization using the covariance matrix adaptation evolution strategy[J]. Optics Express, 2020, 28(22): 33371-33389.

[24] 陈国栋, 李思坤, 王向朝. 基于快速协方差矩阵自适应进化策略的曲线型逆向光刻方法: CN113568278A[P]. 2021-10-29. 10.1117/12.2605879

    ChenG D, LiS K, WangX Z. Curve type reverse photoetching method based on fast covariance matrix adaptive evolutionary strategy: CN113568278A[P]. 2021-10-29.

[25] 陈国栋, 李思坤, 王向朝. 基于调制区块叠加合成掩模图形的曲线型逆向光刻方法: CN113589643A[P]. 2021-11-02.

    ChenG D, LiS K, WangX Z. Curved reverse lithography method based on mask pattern synthesized by superposition of modulation blocks: CN113589643A[P]. 2021-11-02.

[26] 陈国栋, 李思坤, 王向朝. 基于亚分辨率辅助图形种子插入的曲线型逆向光刻方法: CN113589644A[P]. 2021-11-02.

    ChenG D, LiS K, WangX Z. Curve reverse lithography method based on sub-resolution assisted graphic seed insertion: CN113589644A[P]. 2021-11-02.

[27] Abbe E K. Beiträge zur theorie des mikroskops und der mikroskopischen wahrnehmung[J]. Archiv Für Mikroskopische Anatomie, 1873, 9(1): 413-468.

[28] Rayleigh L. Investigations in optics, with special reference to the spectroscope[J]. The London, Edinburgh, and Dublin Philosophical Magazine and Journal of Science, 1879, 8(49): 261-274.

[29] Kirchhoff G. Zur theorie der lichtstrahlen[J]. Annalen Der Physik, 1883, 254(4): 663-695.

[30] Hopkins H H. On the diffraction theory of optical images[J]. Proceedings of the Royal Society of London Series A Mathematical and Physical Sciences, 1953, 217(1130): 408-432.

[31] Richards B, Wolf E. Electromagnetic diffraction in optical systems, II. structure of the image field in an aplanatic system[J]. Proceedings of the Royal Society of London Series A Mathematical and Physical Sciences, 1959, 253(1274): 358-379.

[32] Flagello D G, Milster T, Rosenbluth A E. Theory of high-NA imaging in homogeneous thin films[J]. Journal of the Optical Society of America A, 1996, 13(1): 53-64.

[33] Dill F H, Neureuther A R, Tuttle J A, et al. Modeling projection printing of positive photoresists[J]. IEEE Transactions on Electron Devices, 1975, 22(7): 456-464.

[34] Oldham W G, Nandgaonkar S N, Neureuther A R, et al. A general simulator for VLSI lithography and etching processes: part I: application to projection lithography[J]. IEEE Transactions on Electron Devices, 1979, 26(4): 717-722.

[35] Mack C A. PROLITH: a comprehensive optical lithography model[J]. Proceedings of SPIE, 1985, 0538: 207-220.

[36] Toh K K H, Neureuther A R. Identifying and monitoring effects of lens aberrations in projection printing[J]. Proceedings of SPIE, 1987, 0772: 202-209.

[37] Guerrieri R, Tadros K H, Gamelin J, et al. Massively parallel algorithms for scattering in optical lithography[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1991, 10(9): 1091-1100.

[38] Stirniman J P, Rieger M L. Fast proximity correction with zone sampling[J]. Proceedings of SPIE, 1994, 2197: 294-301.

[39] Cobb N B, Zakhor A, Miloslavsky E A. Mathematical and CAD framework for proximity correction[J]. Proceedings of SPIE, 1996, 2726: 208-222.

[40] Ronse K. Optical lithography-a historical perspective[J]. Comptes Rendus Physique, 2006, 7(8): 844-857.

[41] ShiR, CaiY C, HongX L, et al. The selection and creation of the rules in rules-based optical proximity correction[C]∥2001 4th International Conference on ASIC Proceedings, October 23-25, 2001, Shanghai, China. New York: IEEE Press, 2001: 50-53.

[42] Pang L Y. Inverse lithography technology: 30 years from concept to practical, full-chip reality[J]. Journal of Micro/Nanopatterning, Materials, and Metrology, 2021, 20(3): 030901.

[43] Liu Y, Zakhor A. Binary and phase-shifting mask design for optical lithography[J]. IEEE Transactions on Semiconductor Manufacturing, 1992, 5(2): 138-152.

[44] Liu Y, Pfau A K, Zakhor A. Systematic design of phase-shifting masks with extended depth of focus and/or shifted focus plane[J]. IEEE Transactions on Semiconductor Manufacturing, 1993, 6(1): 4393564.

[45] Liu Y, Zakhor A, Zuniga M A. Computer-aided phase shift mask design with reduced complexity[J]. IEEE Transactions on Semiconductor Manufacturing, 1996, 9(2): 170-181.

[46] Cobb N B, Zakhor A. Fast sparse aerial-image calculation for OPC[J]. Proceedings of SPIE, 1995, 2621: 534-545.

[47] Cobb N B, Zakhor A. Low-complexity mask design[J]. Proceedings of SPIE, 1995, 2440: 313-327.

[48] Cobb N B, Zakhor A. Large-area phase-shift mask design[J]. Proceedings of SPIE, 1994, 2197: 348-360.

[49] Pati Y C, Kailath T. Phase-shifting masks for microlithography: automated design and mask requirements[J]. Journal of the Optical Society of America A, 1994, 11(9): 2438-2452.

[50] Pati Y C, Ghazanfarian A A, Pease R F. Exploiting structure in fast aerial image computation for integrated circuit patterns[J]. IEEE Transactions on Semiconductor Manufacturing, 1997, 10(1): 62-74.

[51] Lucas K D, Word J C, Vandenberghe G, et al. Model-based OPC for first-generation 193-nm lithography[J]. Proceedings of SPIE, 2001, 4346: 119-130.

[52] Poonawala A, Milanfar P. Mask design for optical microlithography: an inverse imaging problem[J]. IEEE Transactions on Image Processing, 2007, 16(3): 774-788.

[53] Ma X, Arce G R. Binary mask optimization for inverse lithography with partially coherent illumination[J]. Proceedings of SPIE, 2008, 7140: 309-323.

[54] Ma X, Arce G R. Binary mask optimization for forward lithography based on the boundary layer model in coherent systems[J]. Journal of the Optical Society of America A, 2009, 26(7): 1687-1695.

[55] Ma X, Arce G R. Pixel-based OPC optimization based on conjugate gradients[J]. Optics Express, 2011, 19(3): 2165-2180.

[56] Ma X, Li Y Q, Dong L S. Mask optimization approaches in optical lithography based on a vector imaging model[J]. Journal of the Optical Society of America A, 2012, 29(7): 1300-1312.

[57] Ma X, Song Z Y, Li Y Q, et al. Block-based mask optimization for optical lithography[J]. Applied Optics, 2013, 52(14): 3351-3363.

[58] Ma X, Wang Z Q, Li Y Q, et al. Fast optical proximity correction method based on nonlinear compressive sensing[J]. Optics Express, 2018, 26(11): 14479-14498.

[59] Chen J F, Liu H Y, Laidig T, et al. Development of a computational lithography roadmap[J]. Proceedings of SPIE, 2008, 6924: 69241C.

[60] Cobb N B, Granik Y. Model-based OPC using the MEEF matrix[J]. Proceedings of SPIE, 2002, 4889: 1281-1292.

[61] Chen Y, Wu K, Shi Z, et al. A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functions[J]. Proceedings of SPIE, 2007, 6520: 65204C.

[62] MukherjeeM, BaumZ, LavinM A. Method for adaptive segment refinement in optical proximity correction: US7043712[P]. 2006-05-09.

[63] Tang Y P, Feng J H, Chih M H, et al. OPC segmentation: dilemma between degree-of-freedom and stability with some relieves[J]. Proceedings of SPIE, 2009, 7274: 72742G.

[64] Cork C, Amoroso F, Poonawala A, et al. Suppressing ringing effects from very strong off-axis illumination with novel OPC approaches for low k1 lithography[J]. Proceedings of SPIE, 2010, 7640: 76401C.

[65] Ping Y, Li X H, Jang S, et al. Tolerance-based OPC and solution to MRC-constrained OPC[J]. Proceedings of SPIE, 2011, 7973: 79732M.

[66] Gu A, Zakhor A. Optical proximity correction with linear regression[J]. IEEE Transactions on Semiconductor Manufacturing, 2008, 21(2): 263-271.

[67] Gao P R, Gu A, Zakhor A. Optical proximity correction with principal component regression[J]. Proceedings of SPIE, 2008, 6924: 69243N.

[68] Kwon Y, Shin Y. Optimization of accurate resist kernels through convolutional neural network[J]. Proceedings of SPIE, 2021, 11613: 116130I.

[69] Rosenbluth A E, Bukofsky S J, Hibbs M S, et al. Optimum mask and source patterns to print a given shape[J]. Proceedings of SPIE, 2001, 4346: 486-502.

[70] Coskun T H, Dai H X, Huang H T, et al. Accounting for mask topography effects in source-mask optimization for advanced nodes[J]. Proceedings of SPIE, 2011, 7973: 79730P.

[71] Mülders T, Domnenko V, Küchler B, et al. Source-mask optimization incorporating a physical resist model and manufacturability constraints[J]. Proceedings of SPIE, 2012, 8326: 83260G.

[72] Flagello D, Matsui R, Yano K, et al. The development of a fast physical photoresist model for OPE and SMO applications from an optical engineering perspective[J]. Proceedings of SPIE, 2012, 8326: 83260R.

[73] Ma X, Li Y Q, Guo X J, et al. Robust resolution enhancement optimization methods to process variations based on vector imaging model[J]. Proceedings of SPIE, 2012, 8326: 83262A.

[74] Xiao G M, Cecil T, Pang L Y, et al. Source optimization and mask design to minimize MEEF in low k1 lithography[J]. Proceedings of SPIE, 2008, 7028: 70280T.

[75] Jia N N, Lam E Y. Pixelated source mask optimization for process robustness in optical lithography[J]. Optics Express, 2011, 19(20): 19384-19398.

[76] Peng Y, Zhang J Y, Wang Y, et al. Gradient-based source and mask optimization in optical lithography[J]. IEEE Transactions on Image Processing, 2011, 20(10): 2856-2864.

[77] Shen Y J, Peng F, Huang X Y, et al. Adaptive gradient-based source and mask co-optimization with process awareness[J]. Chinese Optics Letters, 2019, 17(12): 121102.

[78] Fühner T, Erdmann A. Improved mask and source representations for automatic optimization of lithographic process conditions using a genetic algorithm[J]. Proceedings of SPIE, 2005, 5754: 415-426.

[79] Kuo H F, Wu W C, Li F. Pixel-based ant colony algorithm for source mask optimization[J]. Proceedings of SPIE, 2015, 9426: 94260M.

[80] Hsu S, Chen L Q, Li Z P, et al. An innovative source-mask co-optimization (SMO) method for extending low k1 imaging[J]. Proceedings of SPIE, 2008, 8066: 806657.

[81] Ma X, Han C Y, Li Y Q, et al. Pixelated source and mask optimization for immersion lithography[J]. Journal of the Optical Society of America A, 2013, 30(1): 112-123.

[82] Socha R, Shi X L, LeHoty D. Simultaneous source mask optimization (SMO)[J]. Proceedings of SPIE, 2005, 5853: 180-193.

[83] Mülders T, Domnenko V, Küchler B, et al. Simultaneous source-mask optimization: a numerical combining method[J]. Proceedings of SPIE, 2010, 7823: 78233X.

[84] Wu X F, Liu S Y, Li J, et al. Efficient source mask optimization with Zernike polynomial functions for source representation[J]. Optics Express, 2014, 22(4): 3924-3937.

[85] Lai K, Rosenbluth A E, Bagheri S, et al. Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22 nm logic lithography process[J]. Proceedings of SPIE, 2009, 7274: 72740A.

[86] Bekaert J, Laenens B, Verhaegen S, et al. Freeform illumination sources: an experimental study of source-mask optimization for 22-nm SRAM cells[J]. Proceedings of SPIE, 2010, 7640: 764008.

[87] Mizuno Y, Matsuyama T, Owa S, et al. Illumination optics for source-mask optimization[J]. Proceedings of SPIE, 2010, 7640: 76401I.

[88] Torunoglu I, Elsen E, Karakas A. A GPU-based full-chip source-mask optimization solution[J]. Proceedings of SPIE, 2010, 7640: 76401L.

[89] Li J, Shen Y J, Lam E Y. Hotspot-aware fast source and mask optimization[J]. Optics Express, 2012, 20(19): 21792-21804.

[90] Fühner T, Erdmann A, Evanschitzky P. Simulation-based EUV source and mask optimization[J]. Proceedings of SPIE, 2008, 7122: 71221Y.

[91] Liu X F, Howell R, Hsu S, et al. EUV source-mask optimization for 7 nm node and beyond[J]. Proceedings of SPIE, 2014, 9048: 90480Q.

[92] Ma X, Wang Z Q, Chen X B, et al. Gradient-based source mask optimization for extreme ultraviolet lithography[J]. IEEE Transactions on Computational Imaging, 2019, 5(1): 120-135.

[93] Saleh B E A, Sayegh S I. Reduction of errors of microphotographic reproductions by optimal corrections of original masks[J]. Optical Engineering, 1981, 20(5): 205781.

[94] Nashold K M, Saleh B E A. Image construction through diffraction-limited high-contrast imaging systems: an iterative approach[J]. Journal of the Optical Society of America A, 1985, 2(5): 635-643.

[95] Liu Y, Zakhor A. Optimal binary image design for optical lithography[J]. Proceedings of SPIE, 1990, 1264: 401-412.

[96] Lin B J. Immersion lithography and its impact on semiconductor manufacturing[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2004, 3(3): 46-67.

[97] Pang L Y, Shamma N, Rissman P, et al. Laser and e-beam mask-to-silicon with inverse lithography technology[J]. Proceedings of SPIE, 2005, 5992: 599221.

[98] Abrams D S, Pang L Y. Fast inverse lithography technology[J]. Proceedings of SPIE, 2006, 6154: 61541J.

[99] Lin B, Shieh M F, Sun J W, et al. Inverse lithography technology at chip scale[J]. Proceedings of SPIE, 2006, 6154: 615414.

[100] Granik Y. Fast pixel-based mask optimization for inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2006, 5(4): 043002.

[101] Borodovsky Y, Cheng W H, Schenker R, et al. Pixelated phase mask as novel lithography RET[J]. Proceedings of SPIE, 2008, 6924: 69240E.

[102] Singh V, Hu B, Toh K, et al. Making a trillion pixels dance[J]. Proceedings of SPIE, 2008, 6924: 69240S.

[103] Cheng W H, Farnsworth J, Kwok W, et al. Fabrication of defect-free full-field pixelated phase mask[J]. Proceedings of SPIE, 2008, 6924: 69241G.

[104] Schenker R, Bollepalli S, Hu B, et al. Integration of pixelated phase masks for full-chip random logic layers[J]. Proceedings of SPIE, 2008, 6924: 69240I.

[105] Torunoglu I, Karakas A, Elsen E, et al. A GPU-based full-chip inverse lithography solution for random patterns[J]. Proceedings of SPIE, 2010, 7641: 764115.

[106] Yang Y W, Shi Z, Shen S H, et al. Hot-spots aware inverse lithography technology[J]. ECS Transactions, 2009, 18(1): 367-372.

[107] Lü W, Xia Q, Liu S Y. Mask-filtering-based inverse lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2013, 12(4): 043003.

[108] Lü W, Liu S Y, Xia Q, et al. Level-set-based inverse lithography for mask synthesis using the conjugate gradient and an optimal time step[J]. Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, 2013, 31(4): 041605.

[109] Lü W, Lam E Y, Wei H Q, et al. Cascadic multigrid algorithm for robust inverse mask synthesis in optical lithography[J]. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2014, 13(2): 023003.

[110] Ma X, Zhao Q L, Zhang H, et al. Model-driven convolution neural network for inverse lithography[J]. Optics Express, 2018, 26(25): 32565-32584.

[111] Ma X, Zheng X Q, Arce G R. Fast inverse lithography based on dual-channel model-driven deep learning[J]. Optics Express, 2020, 28(14): 20404-20421.

[112] Shen Y J, Zhou Y Z, Zhang Z R. Fast implicit active contour model for inverse lithography[J]. Optics Express, 2021, 29(7): 10036-10047.

[113] Pang L Y, Dai G, Cecil T, et al. Validation of inverse lithography technology (ILT) and its adaptive SRAF at advanced technology nodes[J]. Proceedings of SPIE, 2008, 6924: 69240T.

[114] Braam K, Selinidis K, Hoppe W, et al. EUV mask synthesis with rigorous ILT for process window improvement[J]. Proceedings of SPIE, 2019, 10962: 109620P.

[115] Tritchkov A, Kobelkov S, Rodin S, et al. Use of ILT-based mask optimization for local printability enhancement[J]. Proceedings of SPIE, 2014, 9256: 92560X.

[116] Wang S B, Su J, Zhang Q, et al. Machine learning assisted SRAF placement for full chip[J]. Proceedings of SPIE, 2017, 10451: 104510D.

[117] Hooker K, Kuechler B, Kazarian A, et al. ILT optimization of EUV masks for sub-7 nm lithography[J]. Proceedings of SPIE, 2017, 10446: 1044604.

[118] Pang L Y, Russell E V, Baggenstoss B, et al. Study of mask and wafer co-design that utilizes a new extreme SIMD approach to computing in memory manufacturing: full-chip curvilinear ILT in a day[J]. Proceedings of SPIE, 2019, 11148: 111480U.

[119] NaoyaH. Computational lithography requirements & challenges for mask making[EB/OL]. [2021-05-03]. https://www.ebeam.org/docs/lv12_hyashi_dnp_distribution.pdf.

[120] Pang L, Russell E V, Baggenstoss B, et al. Enabling faster VSB writing of 193i curvilinear ILT masks that improve wafer process windows for advanced memory applications[J]. Proceedings of SPIE, 2020, 11518: 115180W.

[121] Liu P. Mask synthesis using machine learning software and hardware platforms[J]. Proceedings of SPIE, 2020, 11327: 1132707.

陈国栋, 张子南, 李思坤, 王向朝. 深紫外计算光刻技术研究[J]. 激光与光电子学进展, 2022, 59(9): 0922007. Guodong Chen, Zinan Zhang, Sikun Li, Xiangzhao Wang. Study on Deep Ultraviolet Computational Lithography Techniques[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922007.

本文已被 4 篇论文引用
被引统计数据来源于中国光学期刊网
引用该论文: TXT   |   EndNote

相关论文

加载中...

关于本站 Cookie 的使用提示

中国光学期刊网使用基于 cookie 的技术来更好地为您提供各项服务,点击此处了解我们的隐私策略。 如您需继续使用本网站,请您授权我们使用本地 cookie 来保存部分信息。
全站搜索
您最值得信赖的光电行业旗舰网络服务平台!